Umbral Científico ISSN: Universidad Manuela Beltrán Colombia

Tamaño: px
Comenzar la demostración a partir de la página:

Download "Umbral Científico ISSN: 1692-3375 umbralcientifico@umb.edu.co Universidad Manuela Beltrán Colombia"

Transcripción

1 Umbral Científico ISSN: Universidad Manuela Beltrán Colombia Ballesteros Larrotta, Dora María; Piraján Aranguren, Alexis Javier OS LÓGICOS PROGRAMABLES FPGAS Umbral Científico, núm. 4, junio, 2004, pp Universidad Manuela Beltrán Bogotá, Colombia Disponible en: Cómo citar el artículo Número completo Más información del artículo Página de la revista en redalyc.org Sistema de Información Científica Red de Revistas Científicas de América Latina, el Caribe, España y Portugal Proyecto académico sin fines de lucro, desarrollado bajo la iniciativa de acceso abierto

2 37 Msc. Dora María Ballesteros Larrotta 1 Msc.(c) Alexis Javier Piraján Aranguren 2 RESUMEN Las FPGAS son una gran solución en la elaboración de prototipos gracias a la flexibilidad que ofrecen en el diseño. Debido a su importancia, se presenta como ejemplo de diseño, la descripción de un circuito combinacional en lenguaje VHDL programado en una FPGA Spartan XCS200E. Se realizó la simulación funcional utilizando el programa ModelSim 5.7 y para las etapas de diseño restantes se utilizó ISE Foundation 6.1; ambos programas de la empresa Xilinx. ABSTRACT FPGAs are a great solution for prototyping because they offer designers the flexibility to test, due to it, we development as an example, a combinational circuit by programming the XCS200E Spartan with VHDL language. To validate the design simulations were done in ModelSim 5.7 simulation tool, for the others design stages, we used ISE Foundation 6.1, both of them of the Xilinx Company. Palabras clave: circuitos combinacionales, circuitos secuenciales, ISE Foundation, ModelSim, Unidad arimético-logica (ALU). Keywords: combinational circuits, synchronous circuits, ISE Foundation, ModelSim, Arithmetic Logic Unit. Nomenclatura: ALU: unidad aritmético- lógica CAE: asistencia para ingeniería utilizando un computador CAD: diseño asistido por computador. ASIC: circuito integrado específico a una aplicación HDL: lenguaje de descripción de hardware VHDL: lenguaje de descripción de hardware para dispositivos de alta velocidad PLD: dispositivo lógico programable FPGA: campo de arreglo de compuertas programables. PROM: Es un PLD en el que las uniones en la matriz de puertas AND es fija, siendo programables las uniones en la matriz de puertas OR. PAL: dispositivo programable de las uniones de compuertas AND PLA: dispositivo programable de las uniones AND y OR. DSP: dispositivo dedicado al procesamiento digital de señales 1 Docente Investigador. Grupo de Investigación Sistemas de Adquisición, Procesado y Análisis de Señales Bioeléctricas: SAPAB. UMB 2 Docente Universidad Piloto de Colombia, Jefe de Área Sistemas Inalámbricos.

3 3 INTRODUCCIÓN El diseño tradicional de sistemas digitales es de bajo costo y con tiempos de desarrollo cortos, pero es restringido por arquitecturas inflexibles, velocidades de operación y recursos limitados así como poco versátiles a la hora de la puesta en marcha, como lo son: memorias, microcontroladores, procesadores digitales de señal (DSPs), entre otros. Una solución para estos inconvenientes es el diseño ASIC o de aplicación específica, en contraparte los tiempos de diseño y fabricación son muy extensos y por ende costosos a la hora de solucionar un problema particular. Tomando las ventajas de los dos tipos de diseño expuestos - bajo costo, tiempo de diseño reducido, flexibilidad y versatilidad- surgen los dispositivos lógicos programables, con la característica particular de poseer todos los recursos del primer tipo de diseño concentrados en una pequeña área. Estas ventajas no están completas sin una herramienta adecuada que nos permita organizar y aprovechar estos recursos; para lo cual surgen los lenguajes de descripción de hardware HDL. El diseño de sistemas digitales se transforma en una descripción de alto nivel de los componentes que lo conforman, luego esta descripción configura apropiadamente los recursos del dispositivo, para finalmente obtener el sistema funcional. Lenguajes De Descripción De Hardware Los lenguajes de descripción hardware HDL: hardware description languages-, se empezaron a utilizar en los años 70. Los primeros lenguajes surgidos no tuvieron gran acogida y es hasta una década después que aparecen los lenguajes VHDL y Verilog, los cuales son en la actualizad los más utilizados y han desplazado a los otros lenguajes. [1] A. Historia del VHDL La creación de este lenguaje se inicia en el año 1981, con la creación del programa de desarrollo de circuitos integrados de muy alta velocidad (VHSIC) del Departamento de defensa de Estados Unidos. En el año de 1982 las compañías IBM, Texas Instrumets, Intermetrics, obtuvieron la concesión para la realización del lenguaje y de un conjunto de herramientas para su aplicación. En el año de 1987 el lenguaje VHDL se convierte en la norma 1076 y en el año de 1993 se actualiza con la norma 1164 [1],[2]. El objetivo principal de utilizar lenguaje VHDL para la descripción de hardware, es especificar y documentar circuitos y sistemas digitales con un lenguaje formal que sea entendido tanto por diseñadores como por máquinas computadoras-. Entre las principales características del lenguaje se tiene que es ejecutable, lo que permite que la descripción del hardware se materialice en dispositivos programables; posibilita la descripción del hardware con distintos niveles de abstracción y es independiente de la tecnología. Las diferencias entre VHDL y Verilog son: las unidades de diseño múltiple pueden ser compiladas separadamente en VHDL, mientras que en Verilog, al producirse un cambio sencillo en el orden de compilación la simulación puede cambiar; el usuario puede definir el tipo de datos utilizando VHDL; los conceptos de librería, - que permite compilar proyectos de diseño múltiple -, y paquetes los cuales pueden ser utilizados por cualquier unidad de diseño -, solamente existen en VHDL.

4 3 B. Entidades de Diseño de VHDL El diseño y modelado de sistemas digitales en VHDL, divide el diseño en bloques funcionales que se denominan componentes. Cada componente corresponde a una entidad de diseño: design entity, que se modela por separado como parte de un sistema. La jerarquía se logra utilizando design hierarchy. Las entidades de diseño se construyen en VHDL usando cinco formas diferentes de unidades de diseño, las cuales son: entity, package, configuration, architecture: y package body. [1]-[3] Fig. 1. Unidades de diseño de VHDL. Tomado de [1] - Entity declaration: la declaración de una entidad describe la interfaz de una unidad de diseño la cual se comunica con otras entidades del mismo ambiente. La interfaz incluye todas las entradas, salidas y señales bidireccionales en la declaración del puerto. - Architecture body: una arquitectura describe la composición funcional de un diseño. - Configuration declaration: es una unidad de diseño primaria usada para enlazar una entidad a una arquitectura para formar los componentes de un diseño. - Package declaration: es un depósito que permite almacenar declaraciones que son comúnmente usadas para ser accedidas por múltiples unidades de diseño. - Package body: se relaciona con una declaración tipo paquete y contiene los subprogramas de las funciones y procedimientos declarados en el paquete asociado.

5 4 C. Diseño de herramientas automatizadas Las herramientas software que se utilizan para asistir en el diseño se dividen en dos categorías [4]: la primera, CAD: computer aided design y la segunda, CAE: computer aided engineering, para el diseño de sistemas digitales las herramientas están compuestas por las dos clases de software. Entre las herramientas disponibles para la simulación de un modelo hardware, se tienen: ISE Foundation, Leonardo Spectrum, CADENCE HDL, MAXPLUS, entre otros. Cada una de estas herramientas permite la descripción, síntesis, simulación y programación de los dispositivos lógicos programables. DISPOSITIVOS LOGICOS PROGRAMABLES PLD. Un dispositivo programable es aquel que contiene una arquitectura general predefinida en la que el usuario puede configurar a partir de un conjunto de herramientas de desarrollo, dentro de estos dispositivos encontramos PLAs, PROMs, PALs, GALs, CPLDs y FPGAs según su orden de complejidad y versatilidad [5]. Las FPGA son matrices de puertas eléctricamente programables que contienen múltiples niveles de lógica. Se caracterizan por altas densidades de elementos, alto rendimiento, un gran número de entradas y salidas disponibles por el usuario y un esquema de interconexión flexible, tal y como se presenta en la Fig. 2. Fig. 2. Arquitectura interna FPGA. Tomado de [6] Los bloques lógicos (CLB) permiten realizar la descripción de diseño de un módulo en particular y la interconexión entre ellos es definida por el usuario. Puede contener desde 1000 hasta CLBs por FPGA [7].

6 A. Flujo de diseño en una FPGA: Los pasos presentados en la Fig 3. muestran el flujo de información para el diseño y puesta en marcha de un sistema digital sobre un dispositivo lógico programable. - Especificación: Relaciona la aplicación con los elementos de hardware involucrados, datos de entrada y salida, velocidad de operación, etc. - Código HDL: Una vez definida la arquitectura dedicada, se procede a realizar la descripción de la misma utilizando algún lenguaje HDL sobre una herramienta de diseño. - Depuración del código: Consiste en la verificación de sintaxis y lógica del código HDL. - Síntesis: Es la etapa en la cual el código es traducido o interpretado como compuertas y conexiones eléctricas para cumplir con el objetivo de diseño propuesto. ISE FOUNDATION Este programa permite la descripción en lenguajes VHDL, Verilog, ABEL, UCF [9]. La pantalla está dividida en cuatro subpantallas principales, tal y como se presenta en la Fig 4. En la parte superior izquierda se encuentra la ventana Sources in Project fuentes en la pantalla-, la cual presenta de forma jerárquica los elementos incluidos en el proyecto. Bajo esta subpantalla se encuentra Processes for Source el cual muestra los procesos habilitados. La tercera ventana, ubicada en la parte inferior, muestra errores y advertencias, que se producen durante todas las etapas del proyecto. La última ventana se encuentra a la derecha y es una interfaz multi- documento (MDI) para la visualización de los archivos de texto ascii y el código HDL Fig. 3. Etapas de diseño para FPGAs. 4

7 4 Fig. 4. Interfaz del proyecto: Interface Project Navegator Fig. 5. Ventana fuentes del proyecto: Sources in P Fuentes en la pantalla del Proyecto: esta ventana consiste de tres etiquetas que le suministran información al usuario: module view, snapshot view y library view. Procesos en la ventana actual: esta ventana contiene las opciones de depuración del código, asignación de pines en la FPGA, chequeo de sintaxis, entre otros. Esta subventana se presenta en Fig 6. Consola: despliega errores, advertencias e información adicional. EJEMPLO DE DISEÑO: UNIDAD ARITMÉTICO LÓGICA (ALU) Una unidad aritmético lógica -ALU-, es una unidad que de acuerdo al valor lógico de los bits de selección, se realiza una función en particular. A.Especificación del diseño Las funciones a implementar en la ALU con el valor de selección, se presentan en la Tabla I. Fig. 6. Procesos en la ventana actual: processes for source

8 4 TABLA I. DEFINICIÓN DE LAS OPERACIONES DE LA ALU La operación de suma puede generar acarreo, por lo que se debe además agregar una bandera la cual se establece como 1 lógico cuando tiene carry y como 0 cuando no tiene. El número de bits de los vectores A y B es de 8, al igual que el vector de salida. La FPGA que se utiliza es una Spartan IIE XC2S200E-PQ208 y el lenguaje a utilizar es VHDL. B. Código HDL Para la descripción de la ALU se utiliza lenguaje VHDL el cual se presenta en la ventana multidocumento de ISE FOUNDATION: Fig 7. Fig. 7. Parte del código VHDL de la ALU, editado en la ventana multi-documento. C. Depuración del código Se realiza haciendo doble click sobre View VHDL Instantiation Template de la opción Design Entry Utilities de la ventana Processes for Source. Started process «View VHDL Instantiation Template». Compiling vhdl file C:/Xilinx/./ALU/alu.vhd in Library work. Entity <alu> (Architecture <Behavioral>) compiled. tdtfi(vhdl) completed successfully. Completed process View VHDL Instantiation Template. Fig. 8. Mensaje en la ventana de consola.

9 4 Una vez verificado que el código VHDL de la ALU está correctamente escrito, se realiza la síntesis del diseño. D. Síntesis del Diseño El reporte de los recursos utilizados en el diseño de la ALU, se presenta al hacer click sobre View Synthesis Report de la opción Synthesize XST de la ventana Processes for Source. Para esta aplicación, se utilizaron los recursos que se presentan en la Tabla I. TABLA II. UTILIZACIÓN DE RECURSOS DE LA FPGA XCS200E E. Simulación Para la simulación de la ALU, se utiliza el programa ModelSim [10]. El primer paso consiste en compilar el archivo vhdl correspondiente, posteriormente se abren las ventanas wave y signal, las cuales van a permitir forzar los valores de los puertos de entrada A, B y la señal de selección sel (ventana signal) y ver la simulación del módulo ALU (ventana wave). La ventana signals presenta las señales definidas en entity. Los valores de las señales de entrada sel, a, b-, se forzan, mientras que los valores de las señales de salida y, ban-, se dejan indeterminados: undefined; tal y como se presenta en la Figura 9. La simulación para A= , B= y los ocho posibles valores de sel, se presenta en la Figura 10.

10 Fig. 10 Simulación en tiempo de la ALU para A y B fijos y sel todos los valores. F. Asignación de pines Se utiliza la tarjeta DIO4 para la visualización del funcionamiento de la ALU, la cual es un periférico que contiene 8 switches, 5 pulsadores, 8 leds y 4 displays de 7 segmentos. Esta tarjeta se conecta con la DS2B a través de alguno de los puertos de expansión. Se seleccionan los pines de la DIO4 que corresponden a BTN3, BTN4, BTN5 los cuales se utilizan como señal de selección-, SW1, SW2, SW3, SW4, -para los bits más significativos de la señal de entrada A-, SW5, SW6, SW7, SW8, -para los bits más significativos de la señal de entrada B-, los leds LED5 hasta LED8 para la señal de salida de la ALU y LED4 para el acarreo de la operación de suma. La Tabla II, presenta la relación de los pines de la tarjeta D2SB [11] con su correspondencia con los pines de la tarjeta DIO4, [12] para las señales mencionadas en el párrafo anterior. Fig. 11 Puertos de expansión y enrutamiento de las señales para la tarjeta DS2B. Tomado de [9] Para efectuar la asignación de los pines, se debe seleccionar la opción User Constraints Assign Package Pin, de la ventana Processes for Source; la cual se presenta en Fig 12. 4

11 4 TABLA II. RELACIÓN DE PINES D2SB & DIO4 En la parte izquierda aparecen los puertos definidos en entity, y en la parte derecha los pines de la FPGA.

12 Fig. 13. Pantalla para la programación del dispositivo G. Programación El software genera el archivo de programación, el cual configura los componentes internos del dispositivo. Este proceso se realiza mediante 4 pines del PLD, los cuales son: TDO, TDI, TMS y TCK, y desde el computador por el puerto paralelo. Dado que el dispositivo a usar es una FPGA, su naturaleza es RAM, es decir que cada vez que se suspenda la fuente de alimentación la configuración del dispositivo desaparece. Las Fig. 13 y Fig 14, muestran las ventanas de configuración, dentro de las cuales se puede seleccionar el tipo de puerto a usar para la programación, así como la velocidad y el número de dispositivos que se quieren configurar con la misma descripción. Fig. 14 Programación en curso 4

13 4 CONCLUSIONES La descripción en VHDL de un sistema digital permite independencia de las referencias de los circuitos integrados comerciales, ya que está descripción se relaciona con el funcionamiento del dispositivo que se está modelando, y de esta forma no se pierde vigencia en el diseño realizado. El ahorro en tiempo en la elaboración de aplicaciones es bastante notorio, siempre y cuando se tenga un conocimiento previo del lenguaje de descripción de hardware que se utiliza y fundamentos teóricos de sistemas digitales. Las modificaciones en el diseño no necesitan un esfuerzo adicional notable con respecto a los desarrollos hardware convencionales. Las herramientas CAE-CAD, permiten no solamente programar dispositivos CPLDs y FPGAs, sino que además permiten realizar la simulación del diseño, de esta forma se puede verificar su correcto funcionamiento. Debido a los recursos totales disponibles en las FPGAs, se pueden realizar diseños bastante complejos, que contengan integrados como procesadores, DPSs, entre otros, con la ventaja de desarrollarlo de acuerdo a las condiciones específicas de la aplicación.

14 4 BIBLIOGRAFÍA [1]. D J Smith. HDL Chip Design. A practical guide for designing, synthesizing and simulating ASICs and FPGAs using VHDL or Verilog [2]. M A Freira. Introducción al lenguaje VHDL. Universidad Politécnica de Madrid. [3]. IEEE Standard VHDL Languaje Reference Manual, IEEE Standard [4]. B Stephen. Fundamentals of digital logic In VHDL. Mc Graw Hill [5]. S Brawn, J Rose. Architecture of FPGAs and CPLDs: A Tutorial. Disponible en: [6]. Xilinx. An Introduction to Xilinx Products Disponible en: _Bed/Hardware/Documentation/Xilinx/intro.pdf [7]. XcellJournal. The authoritative Journal for programmable logic users. Issue 46, Summer Xilinx, Inc. [8]. ISP Standards & Specifications. IEEE Std Background. Disponible en: =isp_standards_specs# [9]. ISE 6 In Depth Tutorial. Disponible en [10]. ModelSim. Xilinx Edition II. Tutorial. Version 5.7c [11]. Digilent D2-SB. System Board. Reference Manual. Sep, Disponible en: [12]. Digilent DI04 Peripheral Board. Reference Manual. Sep, Disponible en: - rm.pdf

El tamaño, estructura, número de bloques y la cantidad y conectividad de las conexiones varian en las distintas arquitecturas.

El tamaño, estructura, número de bloques y la cantidad y conectividad de las conexiones varian en las distintas arquitecturas. Que es una FPGA? Las FPGA s (Field Programmable Gate Array) Son dispositivos lógicos de propósito general programable por los usuarios, compuesto de bloques lógicos comunicados por conexiones programables.

Más detalles

Metodologías de diseño de hardware

Metodologías de diseño de hardware Capítulo 2 Metodologías de diseño de hardware Las metodologías de diseño de hardware denominadas Top-Down, basadas en la utilización de lenguajes de descripción de hardware, han posibilitado la reducción

Más detalles

Laboratorio de Diseño de Sistemas Digitales

Laboratorio de Diseño de Sistemas Digitales Proceso de Diseño Laboratorio de Diseño de Sistemas Digitales I Semestre 2008 Ing. Gabriela Ortiz L. Diseño Implementación Depuración Diseño: Concepto inicial. Cuál es la función que lleva a cabo el objeto?

Más detalles

Introducción a FPGAs. Contenido

Introducción a FPGAs. Contenido Introducción a FPGAs Dra. Claudia Feregrino cferegrino@inaoep.mx Contenido 1. FPGA 2. Arquitectura genérica 3. Celda lógica 4. Field Programmable 5. Cómo se programa un FPGA 6. Herramientas de diseño 7.

Más detalles

PROCESAMIENTO DIGITAL DE IMÁGENES MEDIANTE EL USO DE UN FPGA Y LENGUAJE VHDL

PROCESAMIENTO DIGITAL DE IMÁGENES MEDIANTE EL USO DE UN FPGA Y LENGUAJE VHDL PROCESAMIENTO DIGITAL DE IMÁGENES MEDIANTE EL USO DE UN FPGA Y LENGUAJE VHDL N. E. Chávez Rodríguez*, A. M. Vázquez Vargas** *Departamento de Computación **Departamento de Procesamiento Digital de Señales

Más detalles

SINTESIS Y DESCRIPCIÓN DE CIRCUITOS DIGITALES UTILIZANDO VHDL ANTECEDENTES

SINTESIS Y DESCRIPCIÓN DE CIRCUITOS DIGITALES UTILIZANDO VHDL ANTECEDENTES ANTECEDENTES En los últimos diez años la industria electrónica ha tenido una gran evolución en el desarrollo de sistemas digitales; desde computadoras personales, sistemas de audio y vídeo hasta dispositivos

Más detalles

Encuesta sobre utilización de la microelectrónica en la Argentina

Encuesta sobre utilización de la microelectrónica en la Argentina Encuesta sobre utilización de la microelectrónica en la Argentina Los dispositivos microelectrónicos forman el corazón de todo sistema electrónico de nuestros días. En ellos los circuitos alcanzan las

Más detalles

Dispositivos Lógicos Programables (FPGAs) Guillermo Güichal Emtech www.emtech.com.ar

Dispositivos Lógicos Programables (FPGAs) Guillermo Güichal Emtech www.emtech.com.ar Dispositivos Lógicos Programables (FPGAs) Guillermo Güichal Emtech www.emtech.com.ar Temario Introducción Circuitos Digitales FPGAs Flujo y Herramientas de Diseño Diseño para Síntesis Simulación Ejemplos

Más detalles

Tema 16 ELECTRÓNICA DIGITAL LENGUAJES DE DESCRIPCIÓN DE LOS SISTEMAS DIGITALES (PARTE 1)

Tema 16 ELECTRÓNICA DIGITAL LENGUAJES DE DESCRIPCIÓN DE LOS SISTEMAS DIGITALES (PARTE 1) ELECTRÓNICA DIGITAL Tema 16 LENGUAJES DE DESCRIPCIÓN DE LOS SISTEMAS DIGITALES (PARTE 1) IMPORTANCIA DE LOS ESQUEMAS Los circuitos y sistemas electrónicos digitales cuya complejidad es limitada, se pueden

Más detalles

CAPITULO 5. DISPOSITIVOS DE LOGICA RECONFIGURABLE

CAPITULO 5. DISPOSITIVOS DE LOGICA RECONFIGURABLE CAPITULO 5. DISPOSITIVOS DE LOGICA RECONFIGURABLE Los FPGA fueron introducidos por Xilinx en la mitad de 1980. Ellos difieren de los CPLDs en la arquitectura, por su tecnología de almacenamiento, número

Más detalles

Palabras Clave: Vídeo en FPGA, Procesamiento en Tiempo Real RESUMEN

Palabras Clave: Vídeo en FPGA, Procesamiento en Tiempo Real RESUMEN Procesamiento de Vídeo en Tiempo Real Utilizando FPGA J. G. Velásquez-Aguilar, A. Zamudio-Lara Centro de Investigación en Ingeniería y Ciencias Aplicadas, Universidad Autónoma del Estado de Morelos, Cuernavaca,

Más detalles

Laboratorio 4: Uso de una FPGA

Laboratorio 4: Uso de una FPGA Laboratorio 4: Uso de una FPGA Objetivos: Conocer y comprender la estructura interna de una FPGA y su tarjeta de desarrollo que será usada en el laboratorio, y los cuidados y recomendaciones para evitar

Más detalles

Técnicas de Programación Hardware: CAD para FPGAs y CPLDs

Técnicas de Programación Hardware: CAD para FPGAs y CPLDs Técnicas de Programación Hardware: CAD para FPGAs y CPLDs Clase 4: FPGAs Por: Nelson Acosta & Daniel Simonelli UNICEN - Tandil - 1999 1 Implementación de Sistemas Procesador convencional. Economico, conjunto

Más detalles

Tema 11: Instrumentación virtual

Tema 11: Instrumentación virtual Tema 11: Instrumentación virtual Solicitado: Tarea 09: Mapa conceptual: Instrumentación Virtual M. en C. Edgardo Adrián Franco Martínez http://www.eafranco.com edfrancom@ipn.mx @edfrancom edgardoadrianfrancom

Más detalles

1.- DATOS DE LA ASIGNATURA. Diseño Digital Avanzado con FPGAs. Nombre de la asignatura: Carrera: Ingeniería Electrónica. Clave de la asignatura:

1.- DATOS DE LA ASIGNATURA. Diseño Digital Avanzado con FPGAs. Nombre de la asignatura: Carrera: Ingeniería Electrónica. Clave de la asignatura: 1.- DATOS DE LA ASIGNATURA Nombre de la asignatura: Carrera: Clave de la asignatura: (Créditos) SATCA 1 Diseño Digital Avanzado con FPGAs Ingeniería Electrónica SDJ 1203 4 2 6 2.- PRESENTACIÓN Caracterización

Más detalles

LÓGICA PROGRAMABLE. Introducción Simple PLDs Complex PLDs FPGAs. Dpto. Ingeniería Electrónica y Comunicaciones

LÓGICA PROGRAMABLE. Introducción Simple PLDs Complex PLDs FPGAs. Dpto. Ingeniería Electrónica y Comunicaciones Introducción Simple PLDs Complex PLDs FPGAs Diseño tradicional: basado en CIs estándar SSI/MSI Obtención de la función lógica Reducción a términos producto Minimización: Número de integrados Retardo de

Más detalles

TARJETA DE DESARROLLO CPLD

TARJETA DE DESARROLLO CPLD TARJETA DE DESARROLLO CPLD XC9572xl Serie 1 Características CPLD XC9572 xl vq64. o VQFP - 64 pines. o 52 pines I/O de usuario. o 5ns de retardo entre pines. o Frecuencia hasta 178MHz. o 72 macroceldas.

Más detalles

Laboratorio de Dispositivos Integrados Especializados / Diseño de Circuitos y Sistemas Electrónicos

Laboratorio de Dispositivos Integrados Especializados / Diseño de Circuitos y Sistemas Electrónicos Práctica 1 Tutorial Objetivo Usando un diseño especialmente simple, seguir con él el flujo básico, descargando el diseño sobre la placa y verificando en ella su funcionamiento. Circuito utilizado Se trata

Más detalles

Uso del simulador Modelsim

Uso del simulador Modelsim Introducción al laboratorio: Uso del simulador Modelsim Diseño y Simulación de Circuitos Electrónicos por Asistido por Computador Ingeniería Técnica Industrial Electrónica Modelsim es un software que administra

Más detalles

Introducción a las FPGA

Introducción a las FPGA Introducción a las FPGA Introducción a la Microfabricación y las FPGA Instituto Balseiro 12 de Agosto 2013 Hoy veremos... Menú del Día Qué es una FPGA. Para qué se usan. Arquitecturas. Flujo de diseño.

Más detalles

CURSO DISEÑO DE SISTEMAS DIGITALES MEDIANTE VHDL PARA SU IMPLEMENTACIÓN CON FPGAS. 40 horas (15 horas teoría + 25 horas práctica)

CURSO DISEÑO DE SISTEMAS DIGITALES MEDIANTE VHDL PARA SU IMPLEMENTACIÓN CON FPGAS. 40 horas (15 horas teoría + 25 horas práctica) CURSO DISEÑO DE SISTEMAS DIGITALES MEDIANTE VHDL PARA SU IMPLEMENTACIÓN CON FPGAS 40 horas (15 horas teoría + 25 horas práctica) OBJETIVOS Aprendizaje del lenguaje VHDL para el diseño de sistemas digitales

Más detalles

Breve Curso de VHDL. M. C. Felipe Santiago Espinosa. Profesor Investigador UTM

Breve Curso de VHDL. M. C. Felipe Santiago Espinosa. Profesor Investigador UTM Breve Curso de VHDL M. C. Felipe Santiago Espinosa Profesor Investigador UTM Noviembre de 2007 1 Orden del curso 1. Introducción al diseño con VHDL. 2. Unidades de diseño en VHDL. 3. Señales. 4. Especificación

Más detalles

UNIVERSIDAD NACIONAL FEDERICO VILLARREAL FACULTAD DE INGENIERÍA ELECTRÓNICA E INFORMÁTICA SÍLABO

UNIVERSIDAD NACIONAL FEDERICO VILLARREAL FACULTAD DE INGENIERÍA ELECTRÓNICA E INFORMÁTICA SÍLABO SÍLABO ASIGNATURA: MICROELECTRÓNICA CÓDIGO: 8F0108 1. DATOS GENERALES 1.1. DEPARTAMENTO ACADÉMICO : Ing. Electrónica e Informática 1.2. ESCUELA PROFESIONAL : Ingeniería de Mecatrónica 1.3. CICLO DE ESTUDIOS

Más detalles

GUÍA DOCENTE. Sistemas Integrados

GUÍA DOCENTE. Sistemas Integrados GUÍA DOCENTE Sistemas Integrados I.- DATOS INICIALES DE IDENTIFICACIÓN Nombre de la asignatura: Sistemas Integrados Número de créditos ECTS: 4 Ubicación temporal: º Semestre Materia: Sistemas Digitales

Más detalles

Introducción a los FPGAs y el Cómputo Reconfigurable Miguel Morales Sandoval INAOE, 2006

Introducción a los FPGAs y el Cómputo Reconfigurable Miguel Morales Sandoval INAOE, 2006 Introducción a los FPGAs y el Cómputo Reconfigurable Miguel Morales Sandoval INAOE, 2006 Qué son los FPGAs? Matriz de bloques lógicos configurables (CLB) y una matriz de interconexión. Los bloques lógicos

Más detalles

Capítulo 5. Análisis del software del simulador del sistema de seguridad

Capítulo 5. Análisis del software del simulador del sistema de seguridad 1 Capítulo 5. Análisis del software del simulador del sistema de seguridad Para realizar análisis del simulador de sistema de seguridad se recurrió a diagramas de flujo de datos (DFD s), ya que se consideró

Más detalles

E-learning: E-learning:

E-learning: E-learning: E-learning: E-learning: capacitar capacitar a a su su equipo equipo con con menos menos tiempo tiempo y y 1 E-learning: capacitar a su equipo con menos tiempo y Si bien, no todas las empresas cuentan con

Más detalles

Diseño Lógico I Facultad de Ciencias Exactas y Tecnología UNT. Introducción. Fabricantes. Elevada complejidad. Facilitar tareas de diseño

Diseño Lógico I Facultad de Ciencias Exactas y Tecnología UNT. Introducción. Fabricantes. Elevada complejidad. Facilitar tareas de diseño Introducción Fabricantes Elevada complejidad Facilitar tareas de diseño Herramientas CAD DESCRIPCIÓN GRÁFICA DEL MODELO DE DISEÑO DE SISTEMAS COMPLEJOS Proceso de diseño Simplificado Antes de realizar

Más detalles

UNIDADES FUNCIONALES DEL ORDENADOR TEMA 3

UNIDADES FUNCIONALES DEL ORDENADOR TEMA 3 UNIDADES FUNCIONALES DEL ORDENADOR TEMA 3 INTRODUCCIÓN El elemento hardware de un sistema básico de proceso de datos se puede estructurar en tres partes claramente diferenciadas en cuanto a sus funciones:

Más detalles

CAPÍTULO 1 Instrumentación Virtual

CAPÍTULO 1 Instrumentación Virtual CAPÍTULO 1 Instrumentación Virtual 1.1 Qué es Instrumentación Virtual? En las últimas décadas se han incrementado de manera considerable las aplicaciones que corren a través de redes debido al surgimiento

Más detalles

Diseño de Hardware con VHDL

Diseño de Hardware con VHDL Diseño de Hardware con VHDL Facultad de Ingeniería Laboratorio Electrónica Segundo Semestre, 2015 Field Programmable Gate Array (FPGA) De una manera superficial podemos decir que las FPGA son chips de

Más detalles

DISEÑO, IMPLEMENTACIÓN Y VERIFICACIÓN DE UN SISTEMA DE HARDWARE RECONFIGURABLE PARA APLICACIONES DE CONTROL.

DISEÑO, IMPLEMENTACIÓN Y VERIFICACIÓN DE UN SISTEMA DE HARDWARE RECONFIGURABLE PARA APLICACIONES DE CONTROL. DISEÑO, IMPLEMENTACIÓN Y VERIFICACIÓN DE UN SISTEMA DE HARDWARE RECONFIGURABLE PARA APLICACIONES DE CONTROL. Javier Ernesto Santos Estepa Universidad Distrital Francisco José De Caldas 2015. Bogotá-Colombia

Más detalles

INTRODUCCIÓN AL SOFTWARE ISE (Integrated Software Environment) DE XILINX

INTRODUCCIÓN AL SOFTWARE ISE (Integrated Software Environment) DE XILINX INTRODUCCIÓN AL SOFTWARE ISE (Integrated Software Environment) DE XILINX Índice GUÍA DE INICIO AL SOFTWARE ISE DE XILINX... 1 1. Introducción... 1 2. Inicio del software ISE (Integrated Software Environment)

Más detalles

Diseño Lógico I Facultad de Ciencias Exactas y Tecnología UNT. LENGUAJES DE DESCRIPCIÓN DE HARDWARE

Diseño Lógico I Facultad de Ciencias Exactas y Tecnología UNT. LENGUAJES DE DESCRIPCIÓN DE HARDWARE LENGUAJES DE DESCRIPCIÓN DE HARDWARE METODOS PARA DESCRIBIR CIRCUITOS LÓGICOS Descripción verbal Tablas de verdad Diagrama esquemático Expresiones del álgebra booleana Diagrama de tiempos Lenguajes de

Más detalles

SISTEMAS DE INFORMACIÓN II TEORÍA

SISTEMAS DE INFORMACIÓN II TEORÍA CONTENIDO: EL PROCESO DE DISEÑO DE SISTEMAS DISTRIBUIDOS MANEJANDO LOS DATOS EN LOS SISTEMAS DISTRIBUIDOS DISEÑANDO SISTEMAS PARA REDES DE ÁREA LOCAL DISEÑANDO SISTEMAS PARA ARQUITECTURAS CLIENTE/SERVIDOR

Más detalles

3.1 Introducción a VHDL

3.1 Introducción a VHDL Capítulo 3 Implementación en VHDL A continuación se va a explicar brevemente el funcionamiento de VHDL y las componentes de programación para poder entender mejor el programa. Una vez explicado esto, se

Más detalles

OBJETIVOS DE LA MATERIA... 4 PROGRAMA ANALÍTICO. CONTENIDOS TEÓRICOS Y PRÁCTICOS... 5 BIBLIOGRAFIA... 7

OBJETIVOS DE LA MATERIA... 4 PROGRAMA ANALÍTICO. CONTENIDOS TEÓRICOS Y PRÁCTICOS... 5 BIBLIOGRAFIA... 7 UNIVERSIDAD NACIONAL DE LA MATANZA DEPARTAMENTO DE INGENIERIA E INVESTIGACIONES TECNOLOGICAS INGENIERIA EN INFORMATICA ARQUITECTURA DE COMPUTADORAS (1109) Profesor Titular: Ing. Fernando I. Szklanny PLANIFICACIÓN

Más detalles

DATOS DE IDENTIFICACIÓN DEL CURSO DEPARTAMENTO:

DATOS DE IDENTIFICACIÓN DEL CURSO DEPARTAMENTO: DATOS DE IDENTIFICACIÓN DEL CURSO DEPARTAMENTO: ELECTRONICA ACADEMIA A LA QUE SISTEMAS DIGITALES AVANZADOS PERTENECE: NOMBRE DE LA MATERIA: DISEÑO DIGITAL ASISTIDO POR COMPUTADORA CLAVE DE LA MATERIA:

Más detalles

Fundamentos del diseño 3ª edición (2002)

Fundamentos del diseño 3ª edición (2002) Unidades temáticas de Ingeniería del Software Fundamentos del diseño 3ª edición (2002) Facultad de Informática necesidad del diseño Las actividades de diseño afectan al éxito de la realización del software

Más detalles

MAXHC11. TARJETA DE BAJO COSTE PARA EL DISEÑO MIXTO HARDWARE-SOFTWARE

MAXHC11. TARJETA DE BAJO COSTE PARA EL DISEÑO MIXTO HARDWARE-SOFTWARE MAXHC11. TARJETA DE BAJO COSTE PARA EL DISEÑO MIXTO HARDWARE-SOFTWARE Sadot Alexandres F.¹, José D. Muñoz F.², Pedro Pérez de A.³ Departamento de Electrónica y Automática. Escuela Técnica Superior de Ingeniería

Más detalles

Beneficios estratégicos para su organización. Beneficios. Características V.2.0907

Beneficios estratégicos para su organización. Beneficios. Características V.2.0907 Herramienta de inventario que automatiza el registro de activos informáticos en detalle y reporta cualquier cambio de hardware o software mediante la generación de alarmas. Beneficios Información actualizada

Más detalles

Manual de referencia de la tarjeta BASYS 2

Manual de referencia de la tarjeta BASYS 2 Universidad Politécnica de Madrid ETSI de Telecomunicación Departamento de Ingeniería Electrónica Circuitos Electrónicos (Plan 2010) Curso 2012-2013 Manual de referencia de la tarjeta BASYS 2 Álvaro de

Más detalles

TEMA VII: DISEÑO SECUENCIAL PROGRAMABLE

TEMA VII: DISEÑO SECUENCIAL PROGRAMABLE TEMA VII: ISEÑO SECUENCIAL PROGRAMABLE e igual forma que podíamos disponer de dispositivos combinacionales programables para poder implementar funciones combinacionales en un solo integrado, en el dominio

Más detalles

Capítulo 5. Cliente-Servidor.

Capítulo 5. Cliente-Servidor. Capítulo 5. Cliente-Servidor. 5.1 Introducción En este capítulo hablaremos acerca de la arquitectura Cliente-Servidor, ya que para nuestra aplicación utilizamos ésta arquitectura al convertir en un servidor

Más detalles

PROCEDIMIENTO OPERATIVO DESARROLLAR SISTEMAS INFORMÁTICOS PDO-COCTI-DTIN-04

PROCEDIMIENTO OPERATIVO DESARROLLAR SISTEMAS INFORMÁTICOS PDO-COCTI-DTIN-04 Autorización Este documento entra en vigor a partir del 2 de agosto del 2005, a través de su autorización por parte del Dr. Francisco Javier Rojas Monroy, Coordinador de Operaciones, Calidad y Teclogía

Más detalles

UNIDADES DE ALMACENAMIENTO DE DATOS

UNIDADES DE ALMACENAMIENTO DE DATOS 1.2 MATÉMATICAS DE REDES 1.2.1 REPRESENTACIÓN BINARIA DE DATOS Los computadores manipulan y almacenan los datos usando interruptores electrónicos que están ENCENDIDOS o APAGADOS. Los computadores sólo

Más detalles

Elementos requeridos para crearlos (ejemplo: el compilador)

Elementos requeridos para crearlos (ejemplo: el compilador) Generalidades A lo largo del ciclo de vida del proceso de software, los productos de software evolucionan. Desde la concepción del producto y la captura de requisitos inicial hasta la puesta en producción

Más detalles

La Unidad Procesadora.

La Unidad Procesadora. La Unidad Procesadora. En un sistema digital complejo, la capa de hardware de la máquina es el nivel más bajo del modelo de capas de un sistema microcomputarizado. La unidad procesadora es una parte del

Más detalles

FPGA (CAMPO DE MATRIZ DE PUERTAS PROGRAMABLES).

FPGA (CAMPO DE MATRIZ DE PUERTAS PROGRAMABLES). FPGA (CAMPO DE MATRIZ DE PUERTAS PROGRAMABLES). 1 1 FIELD PROGRAMMABLE GATE ARRAY Un FPGA (Field Programmable Gate Array) permite implementar cualquier circuito digital de aplicación específica. Las aplicaciones

Más detalles

Clase 20: Arquitectura Von Neuman

Clase 20: Arquitectura Von Neuman http://computacion.cs.cinvestav.mx/~efranco @efranco_escom efranco.docencia@gmail.com Estructuras de datos (Prof. Edgardo A. Franco) 1 Contenido Arquitectura de una computadora Elementos básicos de una

Más detalles

Sistemas de Computadoras Índice

Sistemas de Computadoras Índice Sistemas de Computadoras Índice Concepto de Computadora Estructura de la Computadora Funcionamiento de la Computadora Historia de las Computadoras Montando una Computadora Computadora Un sistema de cómputo

Más detalles

Introducción a la Computación

Introducción a la Computación UNIVERSIDAD RAFAEL BELLOSO CHACÍN INTRODUCCIÓN A LA COMPUTACION UNIDAD II: http://www.urbe.edu/info-consultas/web-profesor/12697883/ DEFINICIÓN Es el conjunto de los programas de cómputo, procedimientos,

Más detalles

Capitulo I. Introducción

Capitulo I. Introducción Capitulo I. Introducción 1.1 Descripción del trabajo El ser humano, como todos sabemos tiene la necesidad de comunicarse, de ser escuchado y sobretodo interactuar con los demás seres vivos que lo rodean.

Más detalles

CONTROL DIGITAL PARA CONVERTIDOR MULTINIVEL ALIMENTADO CON ENERGÍA SOLAR. Anexo A: FPGA. Introducción

CONTROL DIGITAL PARA CONVERTIDOR MULTINIVEL ALIMENTADO CON ENERGÍA SOLAR. Anexo A: FPGA. Introducción Anexo A: FPGA Introducción Cuando se requiere del diseño de un sistema electrónico y surge la necesidad de implementar una parte con hardware dedicado son varias las posibilidades que hay. Una es un diseño

Más detalles

18. Camino de datos y unidad de control

18. Camino de datos y unidad de control Oliverio J. Santana Jaria Sistemas Digitales Ingeniería Técnica en Informática de Sistemas Curso 2006 2007 18. Camino de datos y unidad de control Un La versatilidad una característica deseable los Los

Más detalles

Curso Completo de Electrónica Digital

Curso Completo de Electrónica Digital CURSO Curso Completo de Electrónica Digital Departamento de Electronica y Comunicaciones Universidad Pontifica de Salamanca en Madrid Prof. Juan González Gómez Capítulo 4 CIRCUITOS COMBINACIONALES 4.1.

Más detalles

Arquitectura Von Neumann

Arquitectura Von Neumann Arquitectura Von Neumann Arquitectura Von Neumann Establecida en 1945 por Von Neumann Modelo básico de arquitectura utilizado en la mayoría de los computadores Su idea es la de conectar permanentemente

Más detalles

SCT3000 95. Software para la calibración de transductores de fuerza. Versión 3.5. Microtest S.A. microtes@arrakis.es

SCT3000 95. Software para la calibración de transductores de fuerza. Versión 3.5. Microtest S.A. microtes@arrakis.es SCT3000 95 Versión 3.5 Software para la calibración de transductores de fuerza. Microtest S.A. microtes@arrakis.es Introducción El programa SCT3000 95, es un sistema diseñado para la calibración automática

Más detalles

INSTITUTO DE ELECTRÓNICA Y COMPUTACIÓN

INSTITUTO DE ELECTRÓNICA Y COMPUTACIÓN INSTITUTO DE ELECTRÓNICA Y COMPUTACIÓN SISTEMAS DIGITALES Tutorial para el Diseño y Simulación de un circuito digital con VHDL, Síntesis e Implementación en un FPGA Profesor: M. C. Felipe Santiago Espinosa

Más detalles

DECODIFICADORES. Para cualquier código dado en las entradas solo se activa una de las N posibles salidas. 2 N

DECODIFICADORES. Para cualquier código dado en las entradas solo se activa una de las N posibles salidas. 2 N DECODIFICADORES Tienen como función detectar la presencia de una determinada combinación de bits en sus entradas y señalar la presencia de este código mediante un cierto nivel de salida. Un decodificador

Más detalles

CAPÍTULO 3 MÓDULO DIGITAL PARA CONVERSIÓN DE VIDEO

CAPÍTULO 3 MÓDULO DIGITAL PARA CONVERSIÓN DE VIDEO CAPÍTULO 3 MÓDULO DIGITAL PARA CONVERSIÓN DE VIDEO 3.1 INTRODUCCIÓN Las señales provenientes de una tarjeta de video de una computadora son formatos estandarizados que podemos emplear para desplegar información

Más detalles

TEMA 3. EL PROCESO DE COMPILACIÓN, DEL CÓDIGO FUENTE AL CÓDIGO MÁQUINA

TEMA 3. EL PROCESO DE COMPILACIÓN, DEL CÓDIGO FUENTE AL CÓDIGO MÁQUINA TEMA 3. EL PROCESO DE COMPILACIÓN, DEL CÓDIGO FUENTE AL CÓDIGO MÁQUINA Programa: Algoritmo (secuencia no ambigua, finita y ordenada de instrucciones para la resolución de un determinado problema) traducido

Más detalles

PLATAFORMA DE DISEÑO QUARTUS

PLATAFORMA DE DISEÑO QUARTUS PLATAFORMA DE DISEÑO QUARTUS 199 Pasos para trabajar en la tarjeta intel DE2i-150 FPGA: Lo primero que se debe hacer es prender la tarjeta y esperar a que se cargue el sistema operativo UBUNTU. Ver la

Más detalles

Dr.-Ing. Paola Vega Castillo

Dr.-Ing. Paola Vega Castillo EL-3310 DISEÑO O DE SISTEMAS DIGITALES Dr.-Ing. Paola Vega Castillo Información n General Curso: Diseño de Sistemas Digitales Código: EL-3310 Tipo de curso: Teórico Créditos/Horas por semana: 4/4 Requisito:

Más detalles

VHDL. Carlos Andrés Luna Vázquez. Lección 5. Sentencias concurrentes

VHDL. Carlos Andrés Luna Vázquez. Lección 5. Sentencias concurrentes VHDL Carlos Andrés Luna Vázquez Lección 5 Sentencias concurrentes Índice Introducción Niveles de abstracción del modelado con HDL Estilos descriptivos del modelado con HDL Ventajas y limitaciones de los

Más detalles

1. Resumen.. 3. 2. Objetivos.. 3. 3. Introducción. 3

1. Resumen.. 3. 2. Objetivos.. 3. 3. Introducción. 3 1 Índice 1. Resumen.. 3 2. Objetivos.. 3 3. Introducción. 3 4. Aplicación web para la gestión de una memoria corporativa: reportes de actividades (proyectos) 4.1 Metodología... 4 4.2 Lenguajes y herramientas

Más detalles

GUÍA DE ADMINISTRACIÓN SALA DE SISTEMAS

GUÍA DE ADMINISTRACIÓN SALA DE SISTEMAS 2013 GUÍA DE ADMINISTRACIÓN SALA DE SISTEMAS Universidad del Valle Sede Yumbo GA 02 REGYU V 02-2013 Elaborado por: Tecnología Sistemas Sede Yumbo Revisado por: José Luis López Marín Jesús Alberto González

Más detalles

Centro Universitario de Ciencias Exactas e Ingenierías DIVISION DE ELECTRONICA Y COMPUTACION

Centro Universitario de Ciencias Exactas e Ingenierías DIVISION DE ELECTRONICA Y COMPUTACION SISTEMA DE MONITOREO POR INTERNET CON ENVÍO DE IMÁGENES Ricardo Hernández Durán (Ingeniería en Comunicaciones y Electrónica) Gabriela Ramos Rosas (Licenciatura en Informática) Víctor Jiménez García (Ingeniería

Más detalles

Configuración de un proyecto en Project Navigator para la utilización del FPGA Spartan 3

Configuración de un proyecto en Project Navigator para la utilización del FPGA Spartan 3 Configuración de un proyecto en Project Navigator para la utilización del FPGA Spartan 3 En este documento se mostrará el procedimiento para crear un proyecto en el software Project Navigator de Xilinx

Más detalles

Una computadora de cualquier forma que se vea tiene dos tipos de componentes: El Hardware y el Software.

Una computadora de cualquier forma que se vea tiene dos tipos de componentes: El Hardware y el Software. ARQUITECTURA DE LAS COMPUTADORAS QUE ES UNA COMPUTADORA (UN ORDENADOR)? Existen numerosas definiciones de una computadora, entre ellas las siguientes: 1) Una computadora es un dispositivo capaz de realizar

Más detalles

CAPAS DEL MODELO OSI (dispositivos de interconexión)

CAPAS DEL MODELO OSI (dispositivos de interconexión) SWITCHES CAPAS DEL MODELO OSI (dispositivos de interconexión) 7. Nivel de aplicación En esta capa se ubican los gateways y el software(estación de trabajo) 6. Nivel de presentación En esta capa se ubican

Más detalles

Tema: INSTALACIÓN Y PARTICIONAMIENTO DE DISCOS DUROS.

Tema: INSTALACIÓN Y PARTICIONAMIENTO DE DISCOS DUROS. 1 Facultad: Ingeniería Escuela: Electrónica Asignatura: Arquitectura de computadoras Lugar de ejecución: Lab. de arquitectura de computadoras, edif. de electrónica. Tema: INSTALACIÓN Y PARTICIONAMIENTO

Más detalles

Dirección de Procesos y Tecnología

Dirección de Procesos y Tecnología INTRODUCCIÓN 3 CONSIDERACIONES, ALCANCE Y LIMITACIONES DEL SISTEMA 4 USUARIO ADMINISTRATIVO SEDE PRERREQUISITOS: 6 ACCESO AL SISTEMA 6 BÚSQUEDA DE PROGRAMACIÓN DEL DOCENTE: 9 REGISTRO HISTÓRICO 11 BITÁCORA

Más detalles

1.2 SISTEMAS DE PRODUCCIÓN

1.2 SISTEMAS DE PRODUCCIÓN 19 1.2 SISTEMAS DE PRODUCCIÓN Para operar en forma efectiva, una empresa manufacturera debe tener sistemas que le permitan lograr eficientemente el tipo de producción que realiza. Los sistemas de producción

Más detalles

INSTRUCTIVO DE ADMINISTRADOR ALFRESCO COMMUNITY 4.2

INSTRUCTIVO DE ADMINISTRADOR ALFRESCO COMMUNITY 4.2 INSTRUCTIVO DE ADMINISTRADOR ALFRESCO COMMUNITY 4.2 Grupo de Innovación y Apropiación de Tecnologías de la Información Archivística Compilador: Pedro Antonio Gómez Guarín INSTRUCTIVO DE ADMINISTRADOR ALFRESCO

Más detalles

Tipos de Dispositivos Controladores

Tipos de Dispositivos Controladores Tipos de Dispositivos Controladores PLC Allen Bradley Siemens Schneider OMRON PC & Software LabView Matlab Visual.NET (C++, C#) FPGAS Xilinx Altera Híbridos Procesador + FPGA (altas prestaciones) µcontrolador

Más detalles

INTRODUCCION. Ing. Camilo Zapata czapata@udea.edu.co Universidad de Antioquia

INTRODUCCION. Ing. Camilo Zapata czapata@udea.edu.co Universidad de Antioquia INTRODUCCION. Ing. Camilo Zapata czapata@udea.edu.co Universidad de Antioquia Qué es una Red? Es un grupo de computadores conectados mediante cables o algún otro medio. Para que? compartir recursos. software

Más detalles

Informe final Proyecto de Innovación Docente ID11/129. Uso de FPGAs y medios audiovisuales en la docencia de la Electrónica Digital

Informe final Proyecto de Innovación Docente ID11/129. Uso de FPGAs y medios audiovisuales en la docencia de la Electrónica Digital Informe final Proyecto de Innovación Docente ID11/129 Uso de FPGAs y medios audiovisuales en la docencia de la Electrónica Digital Participantes: Beatriz García Vasallo Raúl Rengel Estévez Miguel Ángel

Más detalles

(PHP y APACHE), y el programa de comunicación Skype, para controlar de manera

(PHP y APACHE), y el programa de comunicación Skype, para controlar de manera Capítulo 4. Llamada Telefónica En este capítulo se explicará la manera en que se configuraron las herramientas web (PHP y APACHE), y el programa de comunicación Skype, para controlar de manera dinámica

Más detalles

DESCRIPCION DEL SITEMA MASTER.

DESCRIPCION DEL SITEMA MASTER. DESCRIPCION DEL SITEMA MASTER. ESTRUCTURA. El sistema MASTER (Sistema Modular para Control Adaptativo en Tiempo Real) se ha implementado en base a un computador compatible PC-AT, dotado de una tarjeta

Más detalles

Capas del Modelo ISO/OSI

Capas del Modelo ISO/OSI Modelo ISO/OSI Fue desarrollado en 1984 por la Organización Internacional de Estándares (ISO), una federación global de organizaciones que representa aproximadamente a 130 países. El núcleo de este estándar

Más detalles

CAPACITACIÓN A DOCENTES EN EL USO Y APROPIACIÓN DE LA PLATAFORMA VIRTUAL PARA APOYAR PROCESOS ACADÉMICOS DE LOS PROGRAMAS ADSCRITOS EN LA MODALIDAD

CAPACITACIÓN A DOCENTES EN EL USO Y APROPIACIÓN DE LA PLATAFORMA VIRTUAL PARA APOYAR PROCESOS ACADÉMICOS DE LOS PROGRAMAS ADSCRITOS EN LA MODALIDAD CAPACITACIÓN A DOCENTES EN EL USO Y APROPIACIÓN DE LA PLATAFORMA VIRTUAL PARA APOYAR PROCESOS ACADÉMICOS DE LOS PROGRAMAS ADSCRITOS EN LA MODALIDAD DE EDUCACIÓN A DISTANCIA DE LA UNIVERSIDAD DE CÓRDOBA

Más detalles

el Soporte de Decisiones

el Soporte de Decisiones el Soporte de Decisiones Productos ASC SEQUEL Manejo de datos. ABSTRACT Documentación de sistemas. ASC: Acceso a los Datos y Herramienta de Programación SEQUEL y ABSTRACT Soluciones para manejo de datos

Más detalles

1.1.- Objetivos de los sistemas de bases de datos 1.2.- Administración de los datos y administración de bases de datos 1.3.- Niveles de Arquitectura

1.1.- Objetivos de los sistemas de bases de datos 1.2.- Administración de los datos y administración de bases de datos 1.3.- Niveles de Arquitectura 1. Conceptos Generales 2. Modelo Entidad / Relación 3. Modelo Relacional 4. Integridad de datos relacional 5. Diseño de bases de datos relacionales 6. Lenguaje de consulta estructurado (SQL) 1.1.- Objetivos

Más detalles

[ ] introducción. Sistema de información para el análisis de servicios prestados en redes Ad hoc. resumen. Aura Rosa Beltrán A. Gerardo Gutierrez S.

[ ] introducción. Sistema de información para el análisis de servicios prestados en redes Ad hoc. resumen. Aura Rosa Beltrán A. Gerardo Gutierrez S. [ ] resumen Las redes inalámbricas juegan un papel muy importante en las comunicaciones entre PC s por la facilidad de conexión. En la actualidad, las Redes Ad hoc no son muy populares en el ámbito tecnológico,

Más detalles

SIIGO PYME PLUS. Proceso de Recuperación. Cartilla I

SIIGO PYME PLUS. Proceso de Recuperación. Cartilla I SIIGO PYME PLUS Proceso de Recuperación Cartilla I Tabla de Contenido 1. Presentación 2. Qué es el Proceso de Recuperación? 3. Cuál es el Objetivo del Proceso de Recuperación? 4. Cuáles son los Pasos que

Más detalles

Laboratorio virtual para la programación de FPGAs

Laboratorio virtual para la programación de FPGAs Laboratorio virtual para la programación de FPGAs Joaquín Olivares, Alfonso Merino, José M. Palomares y Miguel A. Montijano Escuela Politécnica Superior, Universidad de Córdoba, España olivares@uco.es

Más detalles

ACTIVIDAD No. 2 REPASO DE REDES INFORMATICAS

ACTIVIDAD No. 2 REPASO DE REDES INFORMATICAS ACTIVIDAD No. 2 REPASO DE REDES INFORMATICAS GRADO 11 Nombre(s) y Apellidos: Karen Andrea Marín Mendoza Documento: 98110301014 FICHA NÚMERO COLEGIO Instituto Madre Del Buen Consejo FECHA: 23 de abril 2014

Más detalles

OMG UML 2.0 Marcando un hito en el desarrollo de software Resumen Keywords Historia del Surgimiento

OMG UML 2.0 Marcando un hito en el desarrollo de software Resumen Keywords Historia del Surgimiento OMG UML 2.0 Marcando un hito en el desarrollo de software Resumen A través de este artículo se ofrece un panorama amplio y de alto nivel sobre la especificación y los diferentes diagramas del Lenguaje

Más detalles

UNIVERSIDAD TECNICA DEL NORTE

UNIVERSIDAD TECNICA DEL NORTE UNIVERSIDAD TECNICA DEL NORTE FACULTAD DE INGENIERIA EN CIENCIAS APLICADAS ESCUELA DE INGENIERIA EN SISTEMAS COMPUTACIONALES MANUEL DE USUARIO TEMA: SISTEMA INFORMÁTICO PARA LA PROMOCIÓN Y PUBLICIDAD DE

Más detalles

Figura 1.4. Elementos que integran a la Tecnología de Información.

Figura 1.4. Elementos que integran a la Tecnología de Información. 1.5. Organización, estructura y arquitectura de computadoras La Gráfica siguiente muestra la descomposición de la tecnología de información en los elementos que la conforman: Figura 1.4. Elementos que

Más detalles

SERVICE ORIENTED ARCHITECTURE (SOA) CONTENIDO

SERVICE ORIENTED ARCHITECTURE (SOA) CONTENIDO SERVICE ORIENTED ARCHITECTURE (SOA) CONTENIDO Introducción:...1 Service Oriented Architecture...2 Elementos de una Service Oriented Architecture...2 Application frontends...2 Servicios...2 Contrato:...3

Más detalles

Windows Server 2012: Infraestructura de Escritorio Virtual

Windows Server 2012: Infraestructura de Escritorio Virtual Windows Server 2012: Infraestructura de Escritorio Virtual Módulo 1: Application Virtualization Módulo del Manual Autores: James Hamilton-Adams, Content Master Publicado: 5 de Octubre 2012 La información

Más detalles

UNIVERSIDAD TECNOLOGICA ECOTEC DIEGO BARRAGAN MATERIA: Sistemas Operativos 1 ENSAYO: Servidores BLADE

UNIVERSIDAD TECNOLOGICA ECOTEC DIEGO BARRAGAN MATERIA: Sistemas Operativos 1 ENSAYO: Servidores BLADE UNIVERSIDAD TECNOLOGICA ECOTEC DIEGO BARRAGAN MATERIA: Sistemas Operativos 1 ENSAYO: Servidores BLADE AÑO: 2010 Qué es un servidor Blade? Blade Server es una arquitectura que ha conseguido integrar en

Más detalles

Electrónica Digital II

Electrónica Digital II Electrónica Digital II M. C. Felipe Santiago Espinosa Aplicaciones de los FPLDs Octubre / 2014 Aplicaciones de los FPLDs Los primeros FPLDs se usaron para hacer partes de diseños que no correspondían a

Más detalles

TELEOPERACIÓN DE UN ROBOT MOVIL CON MANEJO DIFERENCIAL A BAJO COSTO

TELEOPERACIÓN DE UN ROBOT MOVIL CON MANEJO DIFERENCIAL A BAJO COSTO TELEOPERACIÓN DE UN ROBOT MOVIL CON MANEJO DIFERENCIAL A BAJO COSTO Introducción.- Asanza,V 1 ; Laica,J 1 ; Paillacho,D 1 1. Centro de Visión y Robotica {vasanza, jlaica, dpailla} @fiec.espol.edu.ec Un

Más detalles

Unidad 1. Fundamentos en Gestión de Riesgos

Unidad 1. Fundamentos en Gestión de Riesgos 1.1 Gestión de Proyectos Unidad 1. Fundamentos en Gestión de Riesgos La gestión de proyectos es una disciplina con la cual se integran los procesos propios de la gerencia o administración de proyectos.

Más detalles

II. Relación con Terceros

II. Relación con Terceros II. Relación con Terceros Introducción a la Relación con Terceros Los terceros se refieren a las entidades con las cuales se realizan transacciones en la organización. Hay tres tipos de terceros, están:

Más detalles

A continuación resolveremos parte de estas dudas, las no resueltas las trataremos adelante

A continuación resolveremos parte de estas dudas, las no resueltas las trataremos adelante Modulo 2. Inicio con Java Muchas veces encontramos en nuestro entorno referencias sobre Java, bien sea como lenguaje de programación o como plataforma, pero, que es en realidad Java?, cual es su historia?,

Más detalles

Sesión No. 4. Contextualización INFORMÁTICA 1. Nombre: Procesador de Texto

Sesión No. 4. Contextualización INFORMÁTICA 1. Nombre: Procesador de Texto INFORMÁTICA INFORMÁTICA 1 Sesión No. 4 Nombre: Procesador de Texto Contextualización La semana anterior revisamos los comandos que ofrece Word para el formato del texto, la configuración de la página,

Más detalles