Implementación en FPGA de Máquinas de Estados con VHDL

Tamaño: px
Comenzar la demostración a partir de la página:

Download "Implementación en FPGA de Máquinas de Estados con VHDL"

Transcripción

1 1 Encuentro de Investigación en Ingeniería Eléctrica Zacatecas, Zac, Abril 5 7, 2006 Implementación en FPGA de Máquinas de Estados con VHDL Miguel Ángel Porta García, Marlen Meza, Perla Saldívar, Oscar Montiel, Roberto Sepúlveda, Roberto Herrera Charles. Instituto Politécnico Nacional Centro de Investigación y Desarrollo de Tecnología Digital, Ave. del Parque 1310 Mesa de Otay, Tijuana B.C TEL: +(664) correo-e: mporta@citedi.mx, imeza@citedi.mx, psaldivar@citedi.mx, oross@citedi.mx, rsepulve@citedi.mx, charles@citedi.mx Resumen Se presenta en este artículo el procedimiento de diseño de una máquina de estados en VHDL con código sintetizable utilizando Active HDL 5.1 y su implementación en el FPGA (field-programmable gate array) Spartan III mediante Xilinx ISE 7.1. Abstract This article shows the procedure for designing in VHDL states machines with synthesizable code using Active HDL 5.1, and its implementation in a FPGA (Field-Programmable Gate Array) Spartan III using Xilinx ISE 7.1. Palabras clave VHDL, síntesis, Spartan III, máquina de estados. A I. INTRODUCCIÓN nte el avance tecnológico y el advenimiento de nuevas alternativas para el diseño y aplicación de circuitos digitales, surgen distintas herramientas que facilitan esta tarea. VHDL [1] es un lenguaje estructurado de descripción de hardware de VHSIC (Very High Speed Integrated Circuit) que permite modelar, simular y sintetizar circuitos digitales, haciendo más fácil la reutilización de módulos que ya han sido desarrollados, depurados y probados, dejando atrás las tablillas de prototipo utilizadas comúnmente en prácticas de laboratorio de electrónica. El desarrollo de VHDL, fue iniciado en 1981 por el Departamento de Defensa de los Estados Unidos de Norteamérica y en 1987 fue publicado el primer estándar IEEE de VHDL [2]. Hay otros lenguajes de descripción de hardware, tales como Verilog HDL, creado por Phil Moorby en Automated Integrated Design Systems en 1985 para la simulación (más tarde fue modificado para utilizarlo también para la síntesis); permite a los diseñadores distintos niveles de abstracción y actualmente cuenta con una comunidad de más de diseñadores activos [3]. La gama de diseños que pueden realizarse con estos lenguajes de descripción de hardware es muy amplia, desde un simple arreglo de compuertas lógicas hasta microcontroladores, como el modelo en VHDL del mc8051 diseñado en 1997 en la Universidad de California, basado en el microcontrolador 8051 de Intel, el cual es de 8 bits, capaz de direccionar 64Kb de programa y 64Kb de memoria de datos. La implementación está escrita en VHDL sintetizable [4]. Otro uso del VHDL ha sido el modelado de algoritmos de procesamiento de señales en una arquitectura paralela reconfigurable, donde dichos algoritmos han sido especificados describiendo el comportamiento de cada elemento del procesamiento del sistema paralelo y sintetizado para un hardware específico [5].

2 107 Encuentro de Investigación en IE, 5 7 de Abril, 2006 Existen diversas compañías que proporcionan herramientas de software para diseñar con VHDL o Verilog, tales como Actel, Altera, Cypress, entre otras. El objetivo del presente trabajo es mostrar el diseño de una máquina de estado realizado en Active HDL 5.1 de Aldec [6], y la síntesis, mediante Xilinx ISE 7.1 [7]. El dispositivo programable utilizado es el FPGA Spartan III también de Xilinx, montado en una tarjeta Digilent Spartan Digilent Spartan donde se encuentra montado el chip del Spartan III. Los productos de Digilent tienen aplicaciones tanto académicas como industriales [10]; esta tarjeta consta de una memoria flash Xilinx XCF02S de 2 Mbits, puerto VGA, puerto serial RS 232, puerto PS/2, display de leds de siete segmentos, cuatro switches de contacto momentáneo, 8 switches de deslizamiento, entre otros componentes. En la sección II se indican las características del hardware para la implementación del circuito. En la sección III se presenta el proceso de diseño y prueba del sistema, construyendo una máquina de estados en Active HDL y posteriormente la implementación en el FPGA mediante Xilinx ISE. Finalmente, en la sección IV se dan las conclusiones. II. DESCRIPCIÓN DEL HARDWARE Un FPGA consiste en un arreglo de compuertas lógicas programables de campo, es decir, un chip programable que contiene miles de compuertas lógicas (ver Figura 1). El FPGA Spartan III XC3S200 de Xilinx utilizado en este trabajo, tiene compuertas lógicas, equivalente a 4320 celdas lógicas; con 216 Kbits de RAM, cuatro administradores de reloj digital (DCMs) y soporta hasta 173 señales de E/S definidas por el usuario [8]. Xilinx es el mayor fabricante de dispositivos lógicos programables en el mundo. Desarrolla, fabrica y comercializa una gran variedad de circuitos integrados, herramientas de desarrollo y componentes de propiedad intelectual. Fue fundada en 1984 y sus oficinas centrales se ubican en San José, California [9]. Figura 2. Tarjeta Digilent Spartan La tarjeta tiene un puerto JTAG (Joint Task Action Group), el cual es utilizado por muchos fabricantes de chips para programar dispositivos lógicos programables; es un estándar especificado por la IEEE [11]. La terminal del cable y el puerto de la tarjeta JTAG se ven más claramente en la Figura 3. Figura 3. Puerto JTAG y terminal del cable JTAG. Figura 1. Chip del Spartan III XC3S200 de Xilinx. En la Figura 2 se muestra la imagen de la tarjeta Mediante un cable JTAG-paralelo o JTAG-USB como los de la Figura 4, se conecta a una computadora personal para realizar la implementación de los diseños. El equipo de trabajo para el diseño e implementación del trabajo presentado en este artículo consta de una computadora personal Dell Optiplex GX240 con un procesador Intel Pentium IV

3 108 de 1.5 GHz y 1 Gb de memoria RAM, sobre la plataforma de Windows XP Profesional. construyó una máquina de estados de Moore, la cual se implementó en el Spartan III, donde las salidas sólo dependen del estado interno y de cualquier entrada sincronizada con el circuito. Un ejemplo de este tipo de máquinas de estado son los contadores [12]. En la Figura 6 se muestra el diagrama de estados en Active HDL 5.1 correspondiente a la máquina de Moore que resuelve el problema de activación de salida A o B. Una vez compilado el diagrama, si no hay errores se genera un archivo *.vhd con el código en VHDL correspondiente, el cual se debe agregar posteriormente en Xilinx ISE para la síntesis e implementación en el Spartan III. Figura 4. Cable JTAG-paralelo y cable JTAG-USB. III. PROCESO DE DISEÑO Y PRUEBA DEL SISTEMA A. Diseño de la máquina de estados En el diseño de la máquina de estados se empleó el asistente para elaborar diagramas de estado de Active HDL 5.1, el cual da la opción de generar automáticamente el código en VHDL (o Verilog) correspondiente. Para fines didácticos, se muestra un circuito con una entrada y dos salidas en las que cada salida se activa en alto si recibe la secuencia SER respectiva: la salida A con la secuencia 0111 y la salida B con 1001 (ver Figura 5). Las claves alfanuméricas SW7, LD7 y LD6 que aparecen en la Figura 5 son los identificadores de los componentes de la tarjeta Digilent (para este caso un switch y dos leds), de los cuales se hablará más adelante en esta sección. SER SW7 Spartan III Máquina de estados LD7 LD6 Figura 5. Diagrama a bloques del circuito. Para verificar si la entrada al sistema ha sido una u otra de las secuencias anteriormente mencionadas se A B Figura 6. Diagrama de estados en Active HDL. B. Síntesis del circuito diseñado en VHDL Al trasladar el proyecto de Active HDL a uno de Xilinx ISE, el número de archivos *.vhd que se deben agregar depende del número de entidades (bloques) que se hayan creado y aquel generado para unir dichas entidades, si es que hay más de una. En este caso la única entidad es la máquina de estados, cuyo código en VHDL es el siguiente: entity MaquinaEdo is port ( CLK: in STD_LOGIC; SER: in STD_LOGIC; A: out STD_LOGIC; B: out STD_LOGIC); end; Los archivos deben compilarse nuevamente para pasar el proyecto de Active HDL a Xilinx ISE. Fue necesario agregar la inicialización de las salidas A y

4 109 Encuentro de Investigación en IE, 5 7 de Abril, 2006 B, así como de la variable Sreg0, la cual representa el estado actual de la máquina de Moore, por lo que se modificó el código de los archivos *.vhd para realizar los ajustes necesarios: if cclk'event and cclk = '1' then A <= '0'; B <= '0'; Sreg0 <= S1; Como se puede apreciar en el segmento de código anterior, se asigna un cero a las salidas A y B, y se inicializa Sreg0 en el primer estado (S1). Antes de realizar la síntesis, la implementación del diseño y la generación del archivo de programa, debe generarse un archivo *.ucf que contiene las constantes de usuario, en el cual se asignan las terminales del Spartan III a las entradas y salidas correspondientes al diseño elaborado, en la opción Asign Package Pins, la cual genera automáticamente el archivo *.ucf, en caso de que aún no haya sido creado por el usuario; por ejemplo, los leds LD7 y LD6 de la tarjeta corresponden a las salidas A y B respectivamente del diseño; y la secuencia de entrada SER de ceros y unos se introduce manualmente asignando la entrada SER al switch de deslizamiento SW7. diseño en VHDL que se encarga de hacer una división de tiempo para que el pulso de reloj sea apreciable al ojo humano, empleando el led LD0 (alrededor de un segundo de duración), además de dar un tiempo razonable al usuario para que introduzca la secuencia de ceros y unos. En la Figura 7, se puede observar que se encuentra encendido el led LD7, y en la Figura 8 se enciende el led LD6, una vez que la máquina de estados verificó que la secuencia de ceros y unos fuera la indicada para cada salida, de lo contrario no se activa ninguna. En ambas figuras se encuentra en alto el pulso de reloj, por lo que el led LD0 también está encendido. La salida activada se mantiene en alto un pulso de reloj, ya que al siguiente pulso la máquina recibe una nueva entrada y vuelve a comenzar a verificar la secuencia. Figura 8. La secuencia introducida ha sido 1001, y se ha activado la salida B, asignada al led LD6. Figura 7. La secuencia introducida ha sido 0111, y se ha activado la salida A, asignada al led LD7. Cabe mencionar que la señal de reloj proviene de un oscilador Epson SG-8002JF de 50 MHz integrado en la tarjeta. Para utilizarla, en el archivo *.ucf se asigna a la entrada CLK de la entidad MaquinaEdo el pin T9, y mediante líneas de código se asigna al led LD0 dicha señal de reloj. Se codificó un proceso dentro del Para descargar el circuito diseñado en VHDL a la memoria flash Xilinx XCF02S de la tarjeta se selecciona la opción Generate PROM, ACE, or JTAG File en la fase de generación de archivo de programa, donde se crea un archivo *.mcs y se descarga directamente a la tarjeta mediante el cable JTAGparalelo o JTAG-USB, al igual que el archivo *.bit, que es el generado durante la síntesis para programar el chip del Spartan III. Si se desconecta la fuente de poder de la tarjeta, se borra el archivo descargado en el Spartan III; no obstante, si había un archivo *.mcs en la memoria flash, al volver a conectar la tarjeta, automáticamente se carga en el Spartan III la información contenida en la memoria flash.

5 110 IV. CONCLUSIONES Se presentó el procedimiento de diseño y proceso de síntesis de máquinas de estados tipo Moore en VHDL mediante un ejemplo sencillo, con la finalidad de mostrar de manera didáctica e incentivar el uso de esta tecnología, recalcando que es posible realizar diseños más complejos. Por ejemplo, se puede implementar el modelo en VHDL del microcontrolador mc8051 mencionado en la introducción de este artículo; en aplicaciones para procesamiento digital con orientación a control, en donde se pueden implementar diversas estructuras de controladores digitales; así como en el diseño de controladores inteligentes en donde se pueden integrar tecnologías de vanguardia, como son Lógica Difusa y Redes Neuronales. Por otra parte, también se comentaron las consideraciones especiales que restringen la implementación final en el FPGA, y la forma de tratarlas para realizar una implementación funcional y permanente en caso de falla de energía. REFERENCIAS [1] Doulos, Enero de 2006, knowhow/vhdl_designers_guide/what_is_vhdl/. [2] Doulos, Enero de 2006, knowhow/vhdl_designers_guide/a_brief_history_of_vh dl/. [3] Lycos, Enero de 2006, in/verilogfaq/page2.html. [4] University of California, Enero de 2006, [5] H. Choi S., K. Ratha N., J. Chung M., T. Rover D., Signal Processing Applications using VHDL on Splash 2, Michigan State University, [6] George Mason University, Enero de 2006, pdf. [7] Xilinx, Enero de 2006, ise/logic_design_prod/webpack.htm. [8] Xilinx, Spartan-3 Starter Kit Borrad User Guide, [en línea] disponible en [9] Arias Estrada, M., Enero de 2006, [10] Digilent, Enero de 2006, [11] Digilent, Digilent Adept Users Manual, [en línea] [12] Universidad Nacional de Colombia, Enero de 2006, ingenieria/ /lecciones/ htm.

Metodologías de diseño de hardware

Metodologías de diseño de hardware Capítulo 2 Metodologías de diseño de hardware Las metodologías de diseño de hardware denominadas Top-Down, basadas en la utilización de lenguajes de descripción de hardware, han posibilitado la reducción

Más detalles

Laboratorio 4: Uso de una FPGA

Laboratorio 4: Uso de una FPGA Laboratorio 4: Uso de una FPGA Objetivos: Conocer y comprender la estructura interna de una FPGA y su tarjeta de desarrollo que será usada en el laboratorio, y los cuidados y recomendaciones para evitar

Más detalles

Laboratorio de Diseño de Sistemas Digitales

Laboratorio de Diseño de Sistemas Digitales Proceso de Diseño Laboratorio de Diseño de Sistemas Digitales I Semestre 2008 Ing. Gabriela Ortiz L. Diseño Implementación Depuración Diseño: Concepto inicial. Cuál es la función que lleva a cabo el objeto?

Más detalles

Tema 16 ELECTRÓNICA DIGITAL LENGUAJES DE DESCRIPCIÓN DE LOS SISTEMAS DIGITALES (PARTE 1)

Tema 16 ELECTRÓNICA DIGITAL LENGUAJES DE DESCRIPCIÓN DE LOS SISTEMAS DIGITALES (PARTE 1) ELECTRÓNICA DIGITAL Tema 16 LENGUAJES DE DESCRIPCIÓN DE LOS SISTEMAS DIGITALES (PARTE 1) IMPORTANCIA DE LOS ESQUEMAS Los circuitos y sistemas electrónicos digitales cuya complejidad es limitada, se pueden

Más detalles

INSTITUTO DE ELECTRÓNICA Y COMPUTACIÓN

INSTITUTO DE ELECTRÓNICA Y COMPUTACIÓN INSTITUTO DE ELECTRÓNICA Y COMPUTACIÓN SISTEMAS DIGITALES Tutorial para el Diseño y Simulación de un circuito digital con VHDL, Síntesis e Implementación en un FPGA Profesor: M. C. Felipe Santiago Espinosa

Más detalles

NOTA DE APLICACIÓN AN-P002. Programando Wiring con NXProg

NOTA DE APLICACIÓN AN-P002. Programando Wiring con NXProg NOTA DE APLICACIÓN AN-P002 Programando Wiring con NXProg Este documento se encuentra protegido por una licencia Creative Commons Creative Commons: Atribution, Non-commercial, Share Alike Atribución: Puede

Más detalles

Manual de instalación y uso del Kit Camerfirma Windows 2000, XP, 2003, Vista

Manual de instalación y uso del Kit Camerfirma Windows 2000, XP, 2003, Vista Manual de instalación y uso del Kit Camerfirma Windows 2000, XP, 2003, Vista Manual de instalación y uso del Kit Camerfirma 1. Introducción...3 2. Instalación automática del minilector/token SIM-USB y

Más detalles

VHDL. Lenguaje de descripción hardware Introducción e historia

VHDL. Lenguaje de descripción hardware Introducción e historia VHDL. Lenguaje de descripción hardware Introducción e historia 2007 A.G.O. All Rights Reserved s descriptivos y niveles de abstracción s descriptivos: Comportamiento Se realiza la función sin información

Más detalles

PROCESAMIENTO DIGITAL DE IMÁGENES MEDIANTE EL USO DE UN FPGA Y LENGUAJE VHDL

PROCESAMIENTO DIGITAL DE IMÁGENES MEDIANTE EL USO DE UN FPGA Y LENGUAJE VHDL PROCESAMIENTO DIGITAL DE IMÁGENES MEDIANTE EL USO DE UN FPGA Y LENGUAJE VHDL N. E. Chávez Rodríguez*, A. M. Vázquez Vargas** *Departamento de Computación **Departamento de Procesamiento Digital de Señales

Más detalles

Diseño Lógico I Facultad de Ciencias Exactas y Tecnología UNT. LENGUAJES DE DESCRIPCIÓN DE HARDWARE

Diseño Lógico I Facultad de Ciencias Exactas y Tecnología UNT. LENGUAJES DE DESCRIPCIÓN DE HARDWARE LENGUAJES DE DESCRIPCIÓN DE HARDWARE METODOS PARA DESCRIBIR CIRCUITOS LÓGICOS Descripción verbal Tablas de verdad Diagrama esquemático Expresiones del álgebra booleana Diagrama de tiempos Lenguajes de

Más detalles

Configuración de un proyecto en Project Navigator para la utilización del FPGA Spartan 3

Configuración de un proyecto en Project Navigator para la utilización del FPGA Spartan 3 Configuración de un proyecto en Project Navigator para la utilización del FPGA Spartan 3 En este documento se mostrará el procedimiento para crear un proyecto en el software Project Navigator de Xilinx

Más detalles

1. Módulo de desarrollo Spartan 3 STARTER KIT con un FPGA xc3s200 ft256 2. Software de diseño XILINX ISE versión 10.1

1. Módulo de desarrollo Spartan 3 STARTER KIT con un FPGA xc3s200 ft256 2. Software de diseño XILINX ISE versión 10.1 Universidad Simón Bolívar Departamento de Electrónica y Circuitos EC1723, Circuitos Digitales Trimestre Septiembre-Diciembre 2008 Realización: Semana 8. Miércoles 5 de Noviembre Laboratorio Práctica 4:

Más detalles

Encuesta sobre utilización de la microelectrónica en la Argentina

Encuesta sobre utilización de la microelectrónica en la Argentina Encuesta sobre utilización de la microelectrónica en la Argentina Los dispositivos microelectrónicos forman el corazón de todo sistema electrónico de nuestros días. En ellos los circuitos alcanzan las

Más detalles

Palabras Clave: Vídeo en FPGA, Procesamiento en Tiempo Real RESUMEN

Palabras Clave: Vídeo en FPGA, Procesamiento en Tiempo Real RESUMEN Procesamiento de Vídeo en Tiempo Real Utilizando FPGA J. G. Velásquez-Aguilar, A. Zamudio-Lara Centro de Investigación en Ingeniería y Ciencias Aplicadas, Universidad Autónoma del Estado de Morelos, Cuernavaca,

Más detalles

TARJETA DE DESARROLLO CPLD

TARJETA DE DESARROLLO CPLD TARJETA DE DESARROLLO CPLD XC9572xl Serie 1 Características CPLD XC9572 xl vq64. o VQFP - 64 pines. o 52 pines I/O de usuario. o 5ns de retardo entre pines. o Frecuencia hasta 178MHz. o 72 macroceldas.

Más detalles

Breve Curso de VHDL. M. C. Felipe Santiago Espinosa. Profesor Investigador UTM

Breve Curso de VHDL. M. C. Felipe Santiago Espinosa. Profesor Investigador UTM Breve Curso de VHDL M. C. Felipe Santiago Espinosa Profesor Investigador UTM Noviembre de 2007 1 Orden del curso 1. Introducción al diseño con VHDL. 2. Unidades de diseño en VHDL. 3. Señales. 4. Especificación

Más detalles

El tamaño, estructura, número de bloques y la cantidad y conectividad de las conexiones varian en las distintas arquitecturas.

El tamaño, estructura, número de bloques y la cantidad y conectividad de las conexiones varian en las distintas arquitecturas. Que es una FPGA? Las FPGA s (Field Programmable Gate Array) Son dispositivos lógicos de propósito general programable por los usuarios, compuesto de bloques lógicos comunicados por conexiones programables.

Más detalles

Diseño de un sistema de adquisición de datos de un micrófono utilizando una FPGA

Diseño de un sistema de adquisición de datos de un micrófono utilizando una FPGA Diseño de un sistema de adquisición de datos de un micrófono utilizando una FPGA Experimental III: Introducción a la Microfabricación y FPGA - Instituto Balseiro Mauricio Tosi Diciembre de 2013 Resumen

Más detalles

Manual de referencia de la tarjeta BASYS 2

Manual de referencia de la tarjeta BASYS 2 Universidad Politécnica de Madrid ETSI de Telecomunicación Departamento de Ingeniería Electrónica Circuitos Electrónicos (Plan 2010) Curso 2012-2013 Manual de referencia de la tarjeta BASYS 2 Álvaro de

Más detalles

Diseño Lógico I Facultad de Ciencias Exactas y Tecnología UNT. Introducción. Fabricantes. Elevada complejidad. Facilitar tareas de diseño

Diseño Lógico I Facultad de Ciencias Exactas y Tecnología UNT. Introducción. Fabricantes. Elevada complejidad. Facilitar tareas de diseño Introducción Fabricantes Elevada complejidad Facilitar tareas de diseño Herramientas CAD DESCRIPCIÓN GRÁFICA DEL MODELO DE DISEÑO DE SISTEMAS COMPLEJOS Proceso de diseño Simplificado Antes de realizar

Más detalles

Usando HADES Parte 1. Contenido. 1.1. Introducción 2. 1.2. Instalación 3. 1.3. Ejecución 3. 1.4. Menú contextual 4. 1.5. El primer circuito 5

Usando HADES Parte 1. Contenido. 1.1. Introducción 2. 1.2. Instalación 3. 1.3. Ejecución 3. 1.4. Menú contextual 4. 1.5. El primer circuito 5 Usando HADES Parte 1 Contenido 1.1. Introducción 2 1.2. Instalación 3 1.3. Ejecución 3 1.4. Menú contextual 4 1.5. El primer circuito 5 1.5.1. Colocar las compuertas 5 1.5.2. Los puertos de entrada 9 1.5.3.

Más detalles

Diseño de Hardware con VHDL

Diseño de Hardware con VHDL Diseño de Hardware con VHDL Facultad de Ingeniería Laboratorio Electrónica Segundo Semestre, 2015 Field Programmable Gate Array (FPGA) De una manera superficial podemos decir que las FPGA son chips de

Más detalles

Curso Completo de Electrónica Digital

Curso Completo de Electrónica Digital CURSO Curso Completo de Electrónica Digital Departamento de Electronica y Comunicaciones Universidad Pontifica de Salamanca en Madrid Prof. Juan González Gómez Capítulo 4 CIRCUITOS COMBINACIONALES 4.1.

Más detalles

Proyecto de Diseño 2

Proyecto de Diseño 2 Altera University Program 1 Proyecto de Diseño 2 Números y Visualizadores El objetivo de esta práctica es diseñar circuitos combinacionales que realicen conversiones numéricas de binario a decimal y adición

Más detalles

Formato para prácticas de laboratorio

Formato para prácticas de laboratorio CARRERA PLAN DE ESTUDIO CLAVE ASIGNATURA NOMBRE DE LA ASIGNATURA IC 2003-1 5040 Circuitos Digitales Avanzados PRÁCTICA No. 6 LABORATORIO DE NOMBRE DE LA PRÁCTICA DURACIÓN (HORA) Multiplicador binario 4

Más detalles

Informe final Proyecto de Innovación Docente ID11/129. Uso de FPGAs y medios audiovisuales en la docencia de la Electrónica Digital

Informe final Proyecto de Innovación Docente ID11/129. Uso de FPGAs y medios audiovisuales en la docencia de la Electrónica Digital Informe final Proyecto de Innovación Docente ID11/129 Uso de FPGAs y medios audiovisuales en la docencia de la Electrónica Digital Participantes: Beatriz García Vasallo Raúl Rengel Estévez Miguel Ángel

Más detalles

Circuito de refresco de un Display

Circuito de refresco de un Display DEPARTAMENTO DE TECNOLOGÍA ELECTRÓNICA ESCUELA TÉCNICA SUPERIOR DE INGENIERÍA INFORMÁTICA Circuito de refresco de un Display Sistemas Digitales Avanzados 1. Introducción y objetivos Uno de los objetivos

Más detalles

GRADO EN INGENIERÍA ELECTRÓNICA Y AUTOMÁTICA INDUSTRIAL

GRADO EN INGENIERÍA ELECTRÓNICA Y AUTOMÁTICA INDUSTRIAL Sistemas Electrónicos Digitales Avanzados GRADO EN INGENIERÍA ELECTRÓNICA Y AUTOMÁTICA INDUSTRIAL PRÁCTICA FINAL GENERADOR AVANZADO DE FORMAS DE ONDA Dpto. Electrónica Curso 2013/2014 1. Introducción La

Más detalles

PROCEDIMIENTO ESPECÍFICO. Código G083-01 Edición 0

PROCEDIMIENTO ESPECÍFICO. Código G083-01 Edición 0 Índice 1. TABLA RESUMEN... 2 2. OBJETO... 2 3. ALCANCE... 2 4. RESPONSABILIDADES... 3 5. ENTRADAS... 3 6. SALIDAS... 3 7. PROCESOS RELACIONADOS... 3 8. DIAGRAMA DE FLUJO... 4 9. DESARROLLO... 5 9.1. DEFINICIÓN...

Más detalles

Tema: INSTALACIÓN Y PARTICIONAMIENTO DE DISCOS DUROS.

Tema: INSTALACIÓN Y PARTICIONAMIENTO DE DISCOS DUROS. 1 Facultad: Ingeniería Escuela: Electrónica Asignatura: Arquitectura de computadoras Lugar de ejecución: Lab. de arquitectura de computadoras, edif. de electrónica. Tema: INSTALACIÓN Y PARTICIONAMIENTO

Más detalles

Dado digital numérico en arduino. Introducción a la programación de microcontroladores con Arduino

Dado digital numérico en arduino. Introducción a la programación de microcontroladores con Arduino Dado digital numérico en arduino Trabajo final Introducción a la programación de microcontroladores con Arduino Objetivo y alcance del trabajo Susana Rosito Sayago Tatiana Molinari Alan Rodas Bonjour La

Más detalles

DATOS DE IDENTIFICACIÓN DEL CURSO DEPARTAMENTO:

DATOS DE IDENTIFICACIÓN DEL CURSO DEPARTAMENTO: DATOS DE IDENTIFICACIÓN DEL CURSO DEPARTAMENTO: ELECTRONICA ACADEMIA A LA QUE SISTEMAS DIGITALES AVANZADOS PERTENECE: NOMBRE DE LA MATERIA: DISEÑO DIGITAL ASISTIDO POR COMPUTADORA CLAVE DE LA MATERIA:

Más detalles

Capítulo 5. Análisis del software del simulador del sistema de seguridad

Capítulo 5. Análisis del software del simulador del sistema de seguridad 1 Capítulo 5. Análisis del software del simulador del sistema de seguridad Para realizar análisis del simulador de sistema de seguridad se recurrió a diagramas de flujo de datos (DFD s), ya que se consideró

Más detalles

Práctica PLC1: Introducción a la programación del PLC Siemens 314IFM en el entorno Step-7

Práctica PLC1: Introducción a la programación del PLC Siemens 314IFM en el entorno Step-7 Práctica PLC1: Introducción a la programación del PLC Siemens 314IFM en el entorno Step-7 1. Objetivos El objetivo de esta práctica es familiarizar al alumno con los autómatas programables de la serie

Más detalles

PLATAFORMA DE DISEÑO QUARTUS

PLATAFORMA DE DISEÑO QUARTUS PLATAFORMA DE DISEÑO QUARTUS 199 Pasos para trabajar en la tarjeta intel DE2i-150 FPGA: Lo primero que se debe hacer es prender la tarjeta y esperar a que se cargue el sistema operativo UBUNTU. Ver la

Más detalles

Electrónica Digital II

Electrónica Digital II Electrónica Digital II M. C. Felipe Santiago Espinosa Aplicaciones de los FPLDs Octubre / 2014 Aplicaciones de los FPLDs Los primeros FPLDs se usaron para hacer partes de diseños que no correspondían a

Más detalles

Universidad Nacional Autónoma de México

Universidad Nacional Autónoma de México Universidad Nacional Autónoma de México Facultad de Ingeniería División de Ingeniería Eléctrica Alumno: Fernando Iván Silva Jiménez Profesora: M.I. Norma Elva ChávezRodríguez Laboratorio de Diseño de Sistemas

Más detalles

UNIVERSIDAD NACIONAL FEDERICO VILLARREAL FACULTAD DE INGENIERÍA ELECTRÓNICA E INFORMÁTICA SÍLABO

UNIVERSIDAD NACIONAL FEDERICO VILLARREAL FACULTAD DE INGENIERÍA ELECTRÓNICA E INFORMÁTICA SÍLABO SÍLABO ASIGNATURA: MICROELECTRÓNICA CÓDIGO: 8F0108 1. DATOS GENERALES 1.1. DEPARTAMENTO ACADÉMICO : Ing. Electrónica e Informática 1.2. ESCUELA PROFESIONAL : Ingeniería de Mecatrónica 1.3. CICLO DE ESTUDIOS

Más detalles

DISEÑO E IMPLEMENTACIÓN DE UNA TARJETA DE ADQUISICIÓN DE DATOS PARA EL LABORATORIO DE TELECOMUNICACIONES DE LA FIEC.

DISEÑO E IMPLEMENTACIÓN DE UNA TARJETA DE ADQUISICIÓN DE DATOS PARA EL LABORATORIO DE TELECOMUNICACIONES DE LA FIEC. TESIS DISEÑO E IMPLEMENTACIÓN DE UNA TARJETA DE ADQUISICIÓN DE DATOS PARA EL LABORATORIO DE TELECOMUNICACIONES DE LA FIEC. DIRECTOR DE TESIS.- Ing. Francisco Novillo AUTOR Walter Mestanza Vera. Egresado

Más detalles

Universidad Autónoma de Baja California Facultad de Ingeniería Mexicali

Universidad Autónoma de Baja California Facultad de Ingeniería Mexicali Sumadores En este documento se describe el funcionamiento del circuito integrado 7483, el cual implementa un sumador binario de 4 bits. Adicionalmente, se muestra la manera de conectarlo con otros dispositivos

Más detalles

Elementos requeridos para crearlos (ejemplo: el compilador)

Elementos requeridos para crearlos (ejemplo: el compilador) Generalidades A lo largo del ciclo de vida del proceso de software, los productos de software evolucionan. Desde la concepción del producto y la captura de requisitos inicial hasta la puesta en producción

Más detalles

Práctica I Modelado y simulación de una máquina expendedora de refrescos

Práctica I Modelado y simulación de una máquina expendedora de refrescos Práctica I Modelado y simulación de una máquina expendedora de refrescos Departamento de Ingeniería Electrónica de Sistemas Informáticos y Automática 2 1.1. Objetivos. En esta práctica vamos a modelar

Más detalles

3.1 Introducción a VHDL

3.1 Introducción a VHDL Capítulo 3 Implementación en VHDL A continuación se va a explicar brevemente el funcionamiento de VHDL y las componentes de programación para poder entender mejor el programa. Una vez explicado esto, se

Más detalles

Modificación y parametrización del modulo de Solicitudes (Request) en el ERP/CRM Compiere.

Modificación y parametrización del modulo de Solicitudes (Request) en el ERP/CRM Compiere. UNIVERSIDAD DE CARABOBO FACULTAD DE CIENCIA Y TECNOLOGÍA DIRECCION DE EXTENSION COORDINACION DE PASANTIAS Modificación y parametrización del modulo de Solicitudes (Request) en el ERP/CRM Compiere. Pasante:

Más detalles

TEMA 5. ELECTRÓNICA DIGITAL

TEMA 5. ELECTRÓNICA DIGITAL TEMA 5. ELECTRÓNICA DIGITAL 1. INTRODUCCIÓN Los ordenadores están compuestos de elementos electrónicos cuyas señales, en principio, son analógicas. Pero las señales que entiende el ordenador son digitales.

Más detalles

Universidad de Guanajuato FIMEE. Electrónica Digital II

Universidad de Guanajuato FIMEE. Electrónica Digital II Universidad de Guanajuato FIMEE Departamento de Electrónica Manual de prácticas Electrónica Digital II Ing. Dr. René de J. Romero Troncoso Verano 2006. Contenido: Introducción 3 Recomendaciones generales

Más detalles

PIC MICRO ESTUDIO Timer Monoestable/Biestable ajustable hasta 99H 59M 59S Timer 2T Clave: 722-1 www.electronicaestudio.com

PIC MICRO ESTUDIO Timer Monoestable/Biestable ajustable hasta 99H 59M 59S Timer 2T Clave: 722-1 www.electronicaestudio.com PIC MICRO ESTUDIO Timer Monoestable/Biestable ajustable hasta 99H 59M 59S Timer 2T Clave: 722-1 www.electronicaestudio.com Guía de Operación P I C M I C R O E S T D U D I O Timer Monoestable/Biestable

Más detalles

ÍNDICE SISTEMAS OPERATIVOS... 5

ÍNDICE SISTEMAS OPERATIVOS... 5 INTRODUCCIÓN HABILIDADES INFORMÁTICAS BÁSICAS PARA ADULTOS SKILLS es un completo curso destinado a alumnos adultos, en particular, a empleados de mayor edad, de forma que puedan adquirir/mejorar sus conocimientos

Más detalles

Laboratorio virtual para la programación de FPGAs

Laboratorio virtual para la programación de FPGAs Laboratorio virtual para la programación de FPGAs Joaquín Olivares, Alfonso Merino, José M. Palomares y Miguel A. Montijano Escuela Politécnica Superior, Universidad de Córdoba, España olivares@uco.es

Más detalles

Capitulo 3. Desarrollo del Software

Capitulo 3. Desarrollo del Software Capitulo 3 Desarrollo del Software 3.1 Análisis del sistema 3.1.1 Organización de la autopista virtual Para el presente proyecto se requiere de simular una autopista para que sirva de prueba. Dicha autopista

Más detalles

DISEÑO, IMPLEMENTACIÓN Y VERIFICACIÓN DE UN SISTEMA DE HARDWARE RECONFIGURABLE PARA APLICACIONES DE CONTROL.

DISEÑO, IMPLEMENTACIÓN Y VERIFICACIÓN DE UN SISTEMA DE HARDWARE RECONFIGURABLE PARA APLICACIONES DE CONTROL. DISEÑO, IMPLEMENTACIÓN Y VERIFICACIÓN DE UN SISTEMA DE HARDWARE RECONFIGURABLE PARA APLICACIONES DE CONTROL. Javier Ernesto Santos Estepa Universidad Distrital Francisco José De Caldas 2015. Bogotá-Colombia

Más detalles

Guía de uso del Cloud Datacenter de acens

Guía de uso del Cloud Datacenter de acens guíasdeuso Guía de uso del Cloud Datacenter de Calle San Rafael, 14 28108 Alcobendas (Madrid) 902 90 10 20 www..com Introducción Un Data Center o centro de datos físico es un espacio utilizado para alojar

Más detalles

NANO GRABADOR OCULTO EXTENDED TS9680

NANO GRABADOR OCULTO EXTENDED TS9680 www.tacticalsecurity.net E-mail: info@tacticalsecurity.net NANO GRABADOR OCULTO EXTENDED TS9680 C CONTENIDO Página 1 El dispositivo... 2-3 2 Características técnicas... 4-5-6 3 Trabajando con el dispositivo...

Más detalles

Manipulación de un Brazo Robótico por medio de una Tarjeta FPGA

Manipulación de un Brazo Robótico por medio de una Tarjeta FPGA Manipulación de un Brazo Robótico por medio de una Tarjeta FPGA Felipe Santiago Espinosa, Yukio Rosales Luengas, Alejandro Rivera García, Adrián Humberto Martínez Vásquez Instituto de Electrónica y Mecatrónica,

Más detalles

2.- PLATAFORMA ARDUINO (HARDWARE)

2.- PLATAFORMA ARDUINO (HARDWARE) 2.- PLATAFORMA ARDUINO (HARDWARE) La plataforma Arduino es una plataforma open-hardware basada en una sencilla placa con entradas y salidas (E/S), analógicas y digitales. El elemento principal el microcontrolador

Más detalles

Electrónica Digital Departamento de Electrónica VHDL. Bioingeniería Facultad de Ingeniería - UNER

Electrónica Digital Departamento de Electrónica VHDL. Bioingeniería Facultad de Ingeniería - UNER Electrónica Digital Departamento de Electrónica VHDL Bioingeniería Facultad de Ingeniería - UNER VHDL VHSIC Hardware Design Language VHSIC Very High Speed Integrated Circuits Verilog Handel C Celóxica

Más detalles

UNIDADES FUNCIONALES DEL ORDENADOR TEMA 3

UNIDADES FUNCIONALES DEL ORDENADOR TEMA 3 UNIDADES FUNCIONALES DEL ORDENADOR TEMA 3 INTRODUCCIÓN El elemento hardware de un sistema básico de proceso de datos se puede estructurar en tres partes claramente diferenciadas en cuanto a sus funciones:

Más detalles

V i s i t a V i r t u a l e n e l H o s p i t a l

V i s i t a V i r t u a l e n e l H o s p i t a l V i s i t a V i r t u a l e n e l H o s p i t a l Manual de Restauración del PC Septiembre 2011 TABLA DE CONTENIDOS SOBRE EL SOFTWARE... 3 CONSIDERACIONES ANTES DE RESTAURAR... 4 PROCEDIMIENTO DE RECUPERACION...

Más detalles

2 EL DOCUMENTO DE ESPECIFICACIONES

2 EL DOCUMENTO DE ESPECIFICACIONES Ingeniería Informática Tecnología de la Programación TEMA 1 Documentación de programas. 1 LA DOCUMENTACIÓN DE PROGRAMAS En la ejecución de un proyecto informático o un programa software se deben de seguir

Más detalles

Circuitos Electrónicos. Primer parcial curso 2006-07

Circuitos Electrónicos. Primer parcial curso 2006-07 Circuitos Electrónicos. Primer parcial curso 2006-07 Ante el creciente interés por las apuestas deportivas, el Departamento Técnico de las Loterías y Apuestas del Estado os ha encargado la actualización

Más detalles

Tarjeta de aplicación para circuito de voz de 60 seg

Tarjeta de aplicación para circuito de voz de 60 seg .mx Tarjeta de aplicación para circuito de voz de 60 seg Dispositivo: APR9600, PCB-744 Nota de Aplicación numero 5 Documentos asociados: RESUMEN. En la actualidad el manejo de los circuitos de APLUS se

Más detalles

ACCESS CONTROL. Aplicación para control y gestión de presencia. (Versión. BASIC) 1 Introducción. 2 Activación del programa

ACCESS CONTROL. Aplicación para control y gestión de presencia. (Versión. BASIC) 1 Introducción. 2 Activación del programa 1 2 1 Introducción Aplicación para control y gestión de presencia ACCESS CONTROL (Versión. BASIC) AccessControl es un eficaz sistema para gestionar la presencia de sus empleados mediante huella dactilar.

Más detalles

Control de presencia. EVASION SUR, S.COOP.AND. Alameda de Hércules 32-33 CP-41002 SEVILLA Tlf.954902409 Fax.954904303 info@evasionsur.

Control de presencia. EVASION SUR, S.COOP.AND. Alameda de Hércules 32-33 CP-41002 SEVILLA Tlf.954902409 Fax.954904303 info@evasionsur. Control de presencia Un sistema de control de presencia informatizado calcula, de manera automática, las horas de asistencia del personal, basándose en la política de la compañía en lo referente a horas

Más detalles

Guía de selección de hardware Windows MultiPoint Server 2010

Guía de selección de hardware Windows MultiPoint Server 2010 Guía de selección de hardware Windows MultiPoint Server 2010 Versión de documento 1.0 Publicado en marzo del 2010 Información sobre los derechos de reproducción Este documento se proporciona como está.

Más detalles

Nombre de la asignatura: Clave de la asignatura: SIB-1307 1-4-5. Carrera:

Nombre de la asignatura: Clave de la asignatura: SIB-1307 1-4-5. Carrera: 1. Datos generales de la asignatura Nombre de la asignatura: Clave de la asignatura: Créditos(Ht-Hp_créditos): Carrera: Sistemas Embebidos SIB-1307 1-4-5 Ingeniería Electrónica 2. Presentación Caracterización

Más detalles

SINTESIS Y DESCRIPCIÓN DE CIRCUITOS DIGITALES UTILIZANDO VHDL ANTECEDENTES

SINTESIS Y DESCRIPCIÓN DE CIRCUITOS DIGITALES UTILIZANDO VHDL ANTECEDENTES ANTECEDENTES En los últimos diez años la industria electrónica ha tenido una gran evolución en el desarrollo de sistemas digitales; desde computadoras personales, sistemas de audio y vídeo hasta dispositivos

Más detalles

Sistemas Electrónicos Digitales. Práctica 1 Multiplicador de 8 bits secuencial con desplazamiento hacia la derecha

Sistemas Electrónicos Digitales. Práctica 1 Multiplicador de 8 bits secuencial con desplazamiento hacia la derecha Sistemas Electrónicos igitales Práctica de 8 bits secuencial con desplazamiento hacia la derecha Javier Toledo Moreo pto. Electrónica, Tecnología de Computadoras y Proyectos Universidad Politécnica de

Más detalles

ICARO MANUAL DE LA EMPRESA

ICARO MANUAL DE LA EMPRESA ICARO MANUAL DE LA EMPRESA 1. ENTRANDO EN ICARO Para acceder al Programa ICARO tendremos que entrar en http://icaro.ual.es Figura 1 A continuación os aparecerá la página de Inicio del aplicativo ICARO.

Más detalles

Hardware Libre: la Tarjeta Skypic, una Entrenadora para Microcontroladores PIC

Hardware Libre: la Tarjeta Skypic, una Entrenadora para Microcontroladores PIC Hardware Libre: la Tarjeta Skypic, una Entrenadora para Microcontroladores PIC Juan González Gómez Escuela Politécnica Superior Universidad Autónoma de Madrid Andrés Prieto-Moreno Torres Ifara Tecnologías,

Más detalles

Workflows? Sí, cuántos quiere?

Workflows? Sí, cuántos quiere? Workflows? Sí, cuántos quiere? 12.11.2006 Servicios Profesionales Danysoft Son notables los beneficios que una organización puede obtener gracias al soporte de procesos de negocios que requieran la intervención

Más detalles

Capítulo 1. Introducción

Capítulo 1. Introducción Capítulo 1. Introducción Nombre del Tema Aspectos de seguridad en aplicaciones basadas en WIFI. Asesor: Dr. Oleg Starostenko Basarab Actualidad y Definición del problema Desde hace ya tiempo nos hemos

Más detalles

ESCUELA POLITÉCNICA NACIONAL FACULTAD DE INGENIERÍA ELÉCTRICA TESIS PREVIA A LA OBTENCIÓN DEL TITULO DE INGENIERO EN ELECTRÓNICA Y CONTROL

ESCUELA POLITÉCNICA NACIONAL FACULTAD DE INGENIERÍA ELÉCTRICA TESIS PREVIA A LA OBTENCIÓN DEL TITULO DE INGENIERO EN ELECTRÓNICA Y CONTROL ESCUELA POLITÉCNICA NACIONAL FACULTAD DE INGENIERÍA ELÉCTRICA TESIS PREVIA A LA OBTENCIÓN DEL TITULO DE INGENIERO EN ELECTRÓNICA Y CONTROL DISEÑO Y CONSTRUCCIÓN DE UN PROTOTIPO DE UN PROGRAMADOR PARA MICROCONTROLADORES

Más detalles

Placa de control MCC03

Placa de control MCC03 Placa de control MCC03 Placa de control MCC03 La placa de control basada en el micro controlador PIC 16F874A de Microchip, es la encargada del procesar los datos que se introducen en el sistema y actuar

Más detalles

Capítulo 3. Análisis y Diseño

Capítulo 3. Análisis y Diseño Capítulo 3 Análisis y Diseño 1 Análisis y Diseño En esta sección se presentará una explicación detallada del sistema, el proceso de desarrollo, así como sus diferentes fases. Además, se presenta la documentación

Más detalles

Dispositivos Lógicos Programables (FPGAs) Guillermo Güichal Emtech www.emtech.com.ar

Dispositivos Lógicos Programables (FPGAs) Guillermo Güichal Emtech www.emtech.com.ar Dispositivos Lógicos Programables (FPGAs) Guillermo Güichal Emtech www.emtech.com.ar Temario Introducción Circuitos Digitales FPGAs Flujo y Herramientas de Diseño Diseño para Síntesis Simulación Ejemplos

Más detalles

18. Camino de datos y unidad de control

18. Camino de datos y unidad de control Oliverio J. Santana Jaria Sistemas Digitales Ingeniería Técnica en Informática de Sistemas Curso 2006 2007 18. Camino de datos y unidad de control Un La versatilidad una característica deseable los Los

Más detalles

Guía de Usuario Programador USB

Guía de Usuario Programador USB Guía de Usuario Programador USB Tecnología Digital del Bajío Av. Vicente Guerrero 1003, Int. A Irapuato, Gto. Mex. C.P. 36690 Teléfono: (462) 145 35 22 www.tecdigitaldelbajio.com i Guía de Usuario, Programador

Más detalles

Tarjeta IEEE 1394. Versión 1.0

Tarjeta IEEE 1394. Versión 1.0 Tarjeta IEEE 1394 Versión 1.0 Contenido 1.0 Qué es IEEE1394?.P.2 2.0 Características de 1394..P.2 3.0 Requisitos de sistema de PC..P.2 4.0 Información técnica..p.3 5.0 Instalación del hardware...p.3 6.0

Más detalles

TEMA 4. Unidades Funcionales del Computador

TEMA 4. Unidades Funcionales del Computador TEMA 4 Unidades Funcionales del Computador Álvarez, S., Bravo, S., Departamento de Informática y automática Universidad de Salamanca Introducción El elemento físico, electrónico o hardware de un sistema

Más detalles

Guía resumida para utilizar el microcontrolador CY7C68013

Guía resumida para utilizar el microcontrolador CY7C68013 Guía resumida para utilizar el microcontrolador CY7C68013 Camilo Quintáns Graña Miguel Ángel Domínguez Gómez Vigo, 2011 Índice 1. DESCRIPCIÓN DEL HARDWARE... 3 1.1. Introducción... 3 1.2. Recursos de memoria...

Más detalles

Hardware Libre: Clasificación y desarrollo de hardware reconfigurable en entornos GNU/Linux

Hardware Libre: Clasificación y desarrollo de hardware reconfigurable en entornos GNU/Linux Hardware Libre: Clasificación y desarrollo de hardware reconfigurable en entornos GNU/Linux Iván González, Juan González, Francisco Gómez-Arribas Escuela Politécnica Superior Universidad Autónoma de Madrid

Más detalles

Circuitos Electrónicos. Septiembre 2005/2006. Problema 1º parcial

Circuitos Electrónicos. Septiembre 2005/2006. Problema 1º parcial Circuitos Electrónicos. Septiembre 2005/2006. Problema 1º parcial Se pretende realizar el circuito lógico interno de una máquina tragaperras de tres ruletas. El sistema completo tiene un esquema como el

Más detalles

BPMN Business Process Modeling Notation

BPMN Business Process Modeling Notation BPMN (BPMN) es una notación gráfica que describe la lógica de los pasos de un proceso de Negocio. Esta notación ha sido especialmente diseñada para coordinar la secuencia de los procesos y los mensajes

Más detalles

Propuesta de Portal de la Red de Laboratorios Virtuales y Remotos de CEA

Propuesta de Portal de la Red de Laboratorios Virtuales y Remotos de CEA Propuesta de Portal de la Red de Laboratorios Virtuales y Remotos de CEA Documento de trabajo elaborado para la Red Temática DocenWeb: Red Temática de Docencia en Control mediante Web (DPI2002-11505-E)

Más detalles

INTRODUCCIÓN AL SOFTWARE ISE (Integrated Software Environment) DE XILINX

INTRODUCCIÓN AL SOFTWARE ISE (Integrated Software Environment) DE XILINX INTRODUCCIÓN AL SOFTWARE ISE (Integrated Software Environment) DE XILINX Índice GUÍA DE INICIO AL SOFTWARE ISE DE XILINX... 1 1. Introducción... 1 2. Inicio del software ISE (Integrated Software Environment)

Más detalles

CAPITULO 5. DISPOSITIVOS DE LOGICA RECONFIGURABLE

CAPITULO 5. DISPOSITIVOS DE LOGICA RECONFIGURABLE CAPITULO 5. DISPOSITIVOS DE LOGICA RECONFIGURABLE Los FPGA fueron introducidos por Xilinx en la mitad de 1980. Ellos difieren de los CPLDs en la arquitectura, por su tecnología de almacenamiento, número

Más detalles

Notas para la instalación de un lector de tarjetas inteligentes.

Notas para la instalación de un lector de tarjetas inteligentes. Notas para la instalación de un lector de tarjetas inteligentes. Índice 0. Obtención de todo lo necesario para la instalación. 3 1. Comprobación del estado del servicio Tarjeta inteligente. 4 2. Instalación

Más detalles

ANEXO - D LOGICA BINARIA Aplicada a diagramas en escalera y de bloques para la programación de un mini PLC

ANEXO - D LOGICA BINARIA Aplicada a diagramas en escalera y de bloques para la programación de un mini PLC ANEXO - D LOGICA BINARIA Aplicada a diagramas en escalera y de bloques para la programación de un mini PLC La lógica binaria fue desarrollada a principios del siglo XIX por el matemático George Boole para

Más detalles

FPGA (CAMPO DE MATRIZ DE PUERTAS PROGRAMABLES).

FPGA (CAMPO DE MATRIZ DE PUERTAS PROGRAMABLES). FPGA (CAMPO DE MATRIZ DE PUERTAS PROGRAMABLES). 1 1 FIELD PROGRAMMABLE GATE ARRAY Un FPGA (Field Programmable Gate Array) permite implementar cualquier circuito digital de aplicación específica. Las aplicaciones

Más detalles

Guía para realizar el primer diseño con el Max+Plus II

Guía para realizar el primer diseño con el Max+Plus II Guía para realizar el primer diseño con el Max+Plus II Introducción A través de esta guía aprenderás como hacer un diseño utilizando el programa Max+plus II y la placa DL-LAB del curso de Diseño Lógico

Más detalles

3.1 INGENIERIA DE SOFTWARE ORIENTADO A OBJETOS OOSE (IVAR JACOBSON)

3.1 INGENIERIA DE SOFTWARE ORIENTADO A OBJETOS OOSE (IVAR JACOBSON) 3.1 INGENIERIA DE SOFTWARE ORIENTADO A OBJETOS OOSE (IVAR JACOBSON) 3.1.1 Introducción Este método proporciona un soporte para el diseño creativo de productos de software, inclusive a escala industrial.

Más detalles

CAPÍTULO 3 MÓDULO DIGITAL PARA CONVERSIÓN DE VIDEO

CAPÍTULO 3 MÓDULO DIGITAL PARA CONVERSIÓN DE VIDEO CAPÍTULO 3 MÓDULO DIGITAL PARA CONVERSIÓN DE VIDEO 3.1 INTRODUCCIÓN Las señales provenientes de una tarjeta de video de una computadora son formatos estandarizados que podemos emplear para desplegar información

Más detalles

UAM MANUAL DE EMPRESA. Universidad Autónoma de Madrid

UAM MANUAL DE EMPRESA. Universidad Autónoma de Madrid MANUAL DE EMPRESA Modo de entrar en ÍCARO Para comenzar a subir una oferta de empleo, el acceso es a través del siguiente enlace: http://icaro.uam.es A continuación, aparecerá la página de inicio de la

Más detalles

Laboratorio de Dispositivos Integrados Especializados / Diseño de Circuitos y Sistemas Electrónicos

Laboratorio de Dispositivos Integrados Especializados / Diseño de Circuitos y Sistemas Electrónicos Práctica 1 Tutorial Objetivo Usando un diseño especialmente simple, seguir con él el flujo básico, descargando el diseño sobre la placa y verificando en ella su funcionamiento. Circuito utilizado Se trata

Más detalles

MANUAL TÉCNICO DE IMPLEMENTACIÓN PROYECTO SOCIAL COMPUESCUELA. Elaborado por: Julián A. Hernández M.

MANUAL TÉCNICO DE IMPLEMENTACIÓN PROYECTO SOCIAL COMPUESCUELA. Elaborado por: Julián A. Hernández M. MANUAL TÉCNICO DE IMPLEMENTACIÓN PROYECTO SOCIAL COMPUESCUELA Elaborado por: Julián A. Hernández M. PONTIFICIA UNIVERSIDAD JAVERIANA CALI SANTIAGO DE CALI 2011 CONTENIDO Pág. INTRODUCCIÓN...3 1. ANÁLISIS

Más detalles

Por el rápido crecimiento de Internet la tecnología se ha tenido que adaptar para cubrir las

Por el rápido crecimiento de Internet la tecnología se ha tenido que adaptar para cubrir las Capítulo 1 Introducción Por el rápido crecimiento de Internet la tecnología se ha tenido que adaptar para cubrir las demandas de mayor ancho de banda. Para cubrir esta demanda los proveedores de Internet

Más detalles

Proyecto MONO. Juantomás García. 1. Introducción. GNOME Hispano juantomas@lared.es

Proyecto MONO. Juantomás García. 1. Introducción. GNOME Hispano juantomas@lared.es Juantomás García GNOME Hispano juantomas@lared.es Qué es el proyecto MONO?. Estado actual del proyecto. Por qué es interesante para el software libre disponer de la tecnología relacionado con el proyecto

Más detalles

Constructor Virtual y Simulador de Circuitos Digitales con Chips TTL

Constructor Virtual y Simulador de Circuitos Digitales con Chips TTL Constructor Virtual y Simulador de Circuitos Digitales con Chips TTL Manual de Usuario (Versión 0.9.7) Ing. Arturo J. Miguel de Priego Paz Soldán www.tourdigital.net Chincha Perú, 24 de mayo de 2011 Este

Más detalles

Haga clic en los recuadros donde indica la mano y regrese al inicio del capítulo al hacer clic en el título de la sección donde se encuentra

Haga clic en los recuadros donde indica la mano y regrese al inicio del capítulo al hacer clic en el título de la sección donde se encuentra Cómo gestiono el Plan Anual de Adquisiciones de mi Entidad en el SECOP II? Crear equipo Crear Plan Anual de Adquisiciones Publicar Plan Anual de Adquisiciones Modificar Plan Anual de Adquisiciones Buscar

Más detalles

GAL22V10. 12 entradas dedicadas. 10 pines E/S. Disponible encapsulado DIP (24 pines), PLCC (28 pines) o baja tensión (GAL22LV10).

GAL22V10. 12 entradas dedicadas. 10 pines E/S. Disponible encapsulado DIP (24 pines), PLCC (28 pines) o baja tensión (GAL22LV10). GAL22V10 12 entradas dedicadas 10 pines E/S Disponible encapsulado DIP (24 pines), PLCC (28 pines) o baja tensión (GAL22LV10). 10 OLMCs disponibles. OLMCs programables como E o S combinacional o como S

Más detalles