"B4-78" Modulación generalizada por ancho de pulso utilizando una representación en vectores espaciales implementada en el FPGA Virtex II-Pro

Tamaño: px
Comenzar la demostración a partir de la página:

Download ""B4-78" Modulación generalizada por ancho de pulso utilizando una representación en vectores espaciales implementada en el FPGA Virtex II-Pro"

Transcripción

1 III CONGRESO VENEZOLANO DE REDES Y ENERGÍA ELÉCTRICA Comité Nacional Venezolano Marzo 2012 "B4-78" Modulación generalizada por ancho de pulso utilizando una representación en vectores espaciales implementada en el FPGA Virtex II-Pro A. Berzoy, J. Restrepo, A. Zambrano, J. Rengifo. Universidad Simón Bolívar RESUMEN En la actualidad, los convertidores trifásicos son utilizados en diversas aplicaciones, tales como el control máquinas de inducción, la generación de tensión de corriente alterna, sistemas de alimentación ininterrumpida (UPS de sus siglas en ingles uninterruptible power supply ), entre otros. Estos convertidores requieren de una secuencia de conmutación coherente de los interruptores, que se denomina modulación. Desde hace más de cuatro décadas, se han realizado investigaciones sobre el desarrollo de estrategias óptimas de modulación sobre el convertidor trifásico con el objetivo de mejorar las características de la señal de salida, tales como las pérdidas por conmutación, el contenido armónico y la eficiencia de la conversión. El presente trabajo consiste en el desarrollo, en lenguaje VHDL, de un algoritmo compacto para la implementación de una estrategia generalizada de modulación espacial de vectores por ancho de pulso sobre un convertidor trifásico. Este algoritmo se implementa utilizando el módulo de arreglo de compuertas lógicas programables (FPGA de sus siglas en ingles Field Programmable Gate Array ) Virtex II-Pro de Xilinx. El sistema de modulación generalizada SVM (GSVM) recibe como entrada una demanda de tensión en coordenadas x,y desde un procesador encargado de las funciones de control del sistema de potencia. Se presentan los resultados obtenidos programados en C y la verificación experimental del algoritmo sobre una plataforma de pruebas con una carga inductiva trifásica balanceada. Adicionalmente, se presenta el contenido armónico obtenido en el caso de señales sinusoidales, en 8 esquemas de modulación, para la evaluación de su distorsión armónica. La ejecución de este algoritmo demanda pocos recursos de almacenamiento y procesamiento por parte de los módulos digitales, permitiendo así su implementación en una FPGA de menor capacidad y de menor costo. PALABRAS CLAVE FPGA, VHDL, Modulación, Inversor, DSP, Distorsión. Universidad Simón Bolívar, Edif. ELE, PB, Of. 019, telf aberzoy@usb.ve

2 I. INTRODUCCIÓN Los circuitos electrónicos de potencia se utilizan en el proceso de conversión de energía eléctrica, de modo que se satisfagan los requerimientos de voltaje y/o corriente de la carga. Entre las topologías usadas se encuentran los inversores, los cuales son circuitos electrónicos capaces de convertir tensión constante (tensión de corriente directa CD) en tensión alterna (tensión de corriente alterna CA). Denominados también convertidores CD-CA. En la figura 1(a) se observa el diagrama eléctrico del circuito utilizado en este trabajo y que consta de 3 partes: alimentación DC (Vcc), puente inversor trifásico de dos niveles [1] (S 1, S 2, S 3, S 4, S 5, S 6 ) y carga inductiva. El presente trabajo de investigación consiste en la elaboración de un algoritmo en lenguaje VHDL para proporcionar una modulación vectorial generalizada por ancho de pulso (GSVM), con la finalidad de extender las estrategias de modulación por ancho de pulso (PWM). Este algoritmo se implementa utilizando el módulo de arreglo de compuertas lógicas programables (FPGA de sus siglas en ingles Field Programmable Gate Array ) Virtex II-Pro de Xilinxs. El sistema de modulación SVM recibe como entrada una demanda de tensión en coordenadas x,y desde un procesador encargado de las funciones de control del sistema de potencia. El algoritmo de modulación SVM se encarga de la determinación de la posición del vector de voltaje de referencia a partir de estas señales sinusoidales y del cálculo del ciclo de trabajo correspondiente a la señal de control de los interruptores (S 1, S 2, S 3, S 4, S 5, S 6 ) en un período de conmutación (Ts). El subsistema desarrollado para el FPGA proporciona 8 distintas estrategias de modulación PWM: SPWM [2], DPWM1 [3], DPWMmáx [4], DPWM2 [5], DPWM0 [6], DPWM3 [7], DPWMmín, y SV-PWM [8,9]. Se presentan los resultados comparativos entre simulación y su correspondiente realización experimental, salvo en el caso de la modulación sinusoidal, para la cual se presentan solamente los resultados experimentales. Las pruebas experimentalmente se realizan en una plataforma de pruebas, del grupo SIEP de la Universidad Simón Bolívar, con una carga inductiva trifásica balanceada. II. MODULACIÓN GENERALIZADA POR ANCHO DE PULSO La modulación generalizada por ancho de pulso [10] es una técnica desarrollada para proporcionar los ciclos de trabajo en un convertidor, para diferentes tipos de modulación espacial de vectores. Esta modulación generalizada unifica todas las estrategias de modulación basadas en pulsos centrales simétricos. Un inversor trifásico como el de la figura 1 puede tener 8 estados posibles, dependiendo del estado de los interruptores (S 1, S 2, S 3, S 4, S 5, S 6 ). Tres de estos 8 estados se consideran en este trabajo como vectores 0 espaciales base (001), (010) y (100), los cuales a su vez corresponden respectivamente a 1, 2 j j e y 2 3 e. Por otra parte el espacio total en el que puede ser representado cualquier vector sintetizado por el inversor trifásico esta dado por el hexágono de la figura 1(b), que a su vez se encuentra segmentado por los vectores espaciales base, conformando así 3 zonas en forma de paralelogramo. 2

3 Fig. 1 (a) Inversor Trifásico con carga inductiva conectada en estrella. (b) Espacio hexagonal para representación de vectores. La modulación generalizada por ancho de pulso propuesta en este trabajo, permite cambiar el método de modulación mediante la selección adecuada de un único parámetro conocido como relación de vector nulo delta, como se observa en la tabla 1. Adicionalmente, dependiendo de la zona de trabajo (N) el cual define los parámetros Z 0 y Z 1.El algoritmo utiliza como entrada las demandas de tensión normalizadas V y y V x. (1) (2) Tabla I. Ecuaciones para el cálculo de los ciclos de trabajo y valores de la tasa de utilización del vector nulo. Donde f x y f y son respectivamente: Y n 1, n 2, v rx y v ry son: (3) 3

4 III. DISEÑO DEL ALGORITMO DE MODULACION GENERALIZADA El diagrama de bloques que se presenta en la figura 2 muestra la estructura programada en VHDL para la modulación generalizada por ancho de pulso. Se observan 10 bloques, cada uno con una función específica. Este sistema recibe una entrada de datos binarios de 12 bits en formato punto fijo correspondientes a las muestras instantáneas de las tres señales que corresponden a la demanda de tensión deseada por fase en el convertidor (señales a sintetizar), las cuales producen las demandas en ciclos de trabajo para el modulo de modulación por ancho de pulso PWM. (4) Fig 2. Diagrama de bloques del sistema completo programado en VHDL para la modulación generalizada por ancho de pulso. 1. Bloque Normalizador ( Normalizer ): este bloque se encarga de normalizar los datos recibidos correspondientes a las muestras de señales sinusoidales de referencia a la entrada, en un rango de señales desde -1 hasta 1 a través de los datos binarios en formato punto fijo Q Por lo tanto a la salida del modulo se tienen las señales Van, Vbn, Vcn necesarias para elaborar la modulación generalizada. 2. XY_Proyección ( XY_Projection ): A partir de los tres valores de las tres muestras de las señales normalizadas, este módulo es capaz de ofrecer a su salida las componentes cartesianas (X,Y) del 4

5 vector espacial de voltaje dentro del espacio hexagonal que define a la operación del inversor trifásico. 3. Zona Fijo ( Zone Fixed ): su función principal consiste en calcular el identificador de la zona N en el espacio hexagonal, donde se ubica el vector espacial de voltaje, a partir de las coordenadas (X,Y) de dicho vector. 4. N2Fijo ( N2_Fixed ): su función consiste en calcular los datos que representan a la variable N2 de acuerdo a la zona en el espacio hexagonal según la ecuación (4). 5. Modulación ( Modulation ): tras recibir los datos binarios correspondientes a N, N2 y a la estrategia de modulación PWM, este módulo se encarga de calcular el valor de. 6. Ciclo Fijo ( Duty_Fixed ): recibe los datos correspondientes a las coordenadas cartesianas del vector espacial de voltaje, el identificador de la zona N y el valor de. Su función principal es calcular los tres valores de los ciclos de trabajo correspondientes a cada una de las ramas de inversor trifásico a través de las proyecciones del vector espacial de referencia sobre los vectores base que se encuentran dentro del espacio hexagonal. Los cálculos de este módulo se basan en las ecuaciones de la Tabla I. 7. TiempoCiclo ( Duty_Time ): este módulo recibe los valores de los ciclos de trabajo con la finalidad de obtener los tiempos de encendido de cada rama en un periodo de conmutación 8. Ciclo SPWM ( SPWM_Duty ): si la estrategia de modulación seleccionada es la SPWM representada a la entrada por un dato binario de 3 bits, este modulo recibe los valores de las tres señales sinusoidales de referencia, con la finalidad de obtener directamente de ellas los tiempos de encendido de cada rama en un periodo de conmutación. Envía los valores calculados al ModuloPWM ( PWM_Module ). 9. PulsoCuentaPWM ( PWM_Tick_Count ): este modulo se encarga de generar un dato que representa una cuenta y un pulso de reloj de 100 MHz a una frecuencia de 10 khz. 10. ModuloPWM ( PWM_Module ): este es un modulo que trabaja con dos procesos instantáneos para generar los pulsos de ancho modulado a su salida, a partir de los datos recibidos correspondientes a los tiempos de encendido provenientes del modulo CicloSPWM ( SPWM_Duty ) o TiempoCiclo ( Duty_Time ), el tiempo muerto dentro de un periodo de conmutación, la señal de activación del modulador, la estrategia de modulación seleccionada, el pulso de reloj y el valor del contador del módulo PulsoCuentaPWM ( PWM_Tick_Count ). IV. RESULTADOS EXPERIMENTALES Para la verificación y realización de las pruebas del algoritmo escrito en lenguaje VHDL se utiliza el esquema de la figura 3. Este esquema consta de una computadora, un procesador de señales SHARC- ADSP y el módulo de arreglos lógicos programables Virtex II-Pro. Fig. 3 Esquema para la conexión entre los módulos DSP y FPGA La conexión de la computadora y el DSP se establece mediante puerto serial USB. La conexión entre el módulo DSP y la tarjeta FPGA se realiza mediante pines distribuidos de la siguiente manera: 12 pines para 5

6 los datos binarios, 10 pines de direccionamiento, 1 pin para la lectura efectiva del dato, 1 pin para la escritura de datos y 1 pin que garantiza el envío y recepción de datos en el módulo DSP. Las estrategias de modulación implementadas fueron: DPWM0, DPWM1, DPWM2, DPWM3, DPWMmín, DPWMmáx, SPWM y SV-PWM. A continuación se presentan los resultados experimentales de la modulación SV-PWM. Resultados de la modulación SV-PWM Estas pruebas se realizaron en el esquema de la figura 3, donde la implementación de la modulación vectorial generalizada se manejo a partir de una señal de reloj global de 100 MHz. Por otro lado el DSP se generó la programación de 3 señales sinusoidales en lenguaje C, para proveer la referencia al sistema de potencia (inductancias balanceadas). La frecuencia de operación del DSP es de 10 khz, y las señales de referencia de 60 Hz. También se elaboró el algoritmo correspondiente al cálculo de los ciclos de trabajo de acuerdo a los planteamientos teóricos de la modulación generalizada en el módulo DSP utilizando lenguaje C en el entorno ofrecido por el software Visual DSP++. Los datos procesados tanto por el módulo FPGA como por el módulo DPS se compararon para verificar el desempeño y exactitud del método programado en VHDL. En la figura 4a se puede observar los ciclos de trabajo obtenidos tanto por el módulo FPGA como por el DSP. En la figura 4b, se muestra la diferencia de estos dos ciclos de trabajo y se calcula el error absoluto entre ellos, se observa que el error absoluto no supera 0.2%. La figura 4c muestra la señal de corriente de línea medida con un osciloscopio digital Tecktronix, datos procesador por Matlab 2009b. La frecuencia de la señal se midió en 58 Hz y su valor máximo de corriente en 33.6 A. En la figura 4d se observa el contenido armónico midiéndose una distorsión total armónica de %. Nótese que el porcentaje de distorsión es congruente con la figura 4c, la cual es una señal de corriente sinusoidal sin distorsión. (a) (b) 6

7 (c) (d) Fig. 4 (a) Ciclos de trabajo obtenidos tanto por el módulo FPGA como por el DSP. (b) Error absoluto entre los ciclos de trabajo. (c) Corriente de fase de salida del VSI. (d) Contenido armónico de la corriente de fase. En la tabla II se muestran los resultados de la distorsión armónica total y del error absoluto de los diferentes métodos de modulación vectorial a partir de la modulación generalizada. Se observa que la modulación con menor THD es la DPWM0 y la que presenta menor error absoluto la SV-PWM. Tabla II. Resultados de la distorsión armónica total y error absoluto de los diferentes métodos de modulación vectorial. SPWM SVM DPWM0 DPWM1 DPWM2 DPWM3 DPWM mín DPWM máx THD (%) Error (%) V. CONCLUSIONES Se desarrollaron los ocho esquemas de modulación por ancho de pulso mas importantes entre los cuales están DPWM0, DPWM1, DPWM2, DPWM3, DPWMmín, DPWMmáx, SPWM y SV-PWM. Cada uno de estos esquemas ofrece ventajas uno respecto a los otros dependiendo del tipo de carga que se utilice a la salida y sus aplicaciones. De esta manera resulta util presentar y desarrollar un algoritmo que unifique los mencionados métodos de modulación PWM en la variación de un solo parámetro de entrada conocido como tasa de utilización del vector cero. Se programo este algoritmo de modulación generalizada en lenguaje VHDL sobre una tarjeta de desarrollo VIRTEX II pro, la cual se conecta a un DSP para recibir las señales de referencia a modular y a una etapa de potencia (inversor trifásico). El algoritmo se programó en formato punto fijo Q 3.13 para la representación de los datos binarios. Se obtuvieron resultados experimentales de cada una de las modulaciones mediante pruebas realizadas en una plataforma de electrónica de potencia antes descrita. Estos resultados se compararon con los 7

8 resultados obtenidos de programar la modulación generalizada en el DSP en lenguaje C, demostrando que existe gran precisión del algoritmo desarrollado en VHDL. Adicionalmente, en los resultados experimentales se observa muy poca distorsión armónica en las señales de corriente de fase de salida del inversor trifásico, con lo que se verifica que la modulación vectorial esta sintetizando correctamente la señal de referencia sinusoidal de 60 Hz. BIBLIOGRAFÍA [1] J. Posada, Modulación por ancho de pulso y modulación vectorial. Una introducción a las técnicas de modulación, Revista El hombre y la máquina, N 25, pp 70-83, Universidad Autónoma de Occidente, Cali, Colombia, Diciembre [2] D. López, G. Camacho, J. Díaz y C. Gaviria, Modulación PWM aplicada a inversores trifásicos dentro del esquema de accionamientos eléctricos AC, (Universidad del Cauca, Colombia, 2007). [3] M. Depenbrock, Pulse width control of a 3-phase inverter with nonsinusoidal phase voltages, (Proceedings of the IEEE Power Conversion Conference, pp , 1977). [4] K. Tanaguchi, Y. Ogino y H.Irie, PWM technique for power MOSFET inverter, (IEEE Transactions on Power Electronics, Vol 3, pp , Julio, 1988). [5] S. Ogasawara, H. Akagi y A. Nabae, A Novel PWM scheme of voltage source inverter based on space vector theory, (Proceedings.Eur.Conf.Power.Electron.and.Applicat (EPE '89), pp , 1989). [6] T. Kenjo, Power Electronics for the Microprocessor Age, (Oxford University Press, 1990). [7] J. Kolar, H. Ertl y F. Zach, Influence of the modulation method on the conduction and switching losses of a PWM converter system, (IEEE Transactions on Industrial Applications, Vol 27, pp , Diciembre, 1991). [8] H. Van Der Broeck, H. Skudelny y G. Stanke, Analysis and realization of a pulse width modulator based on voltage space vectors, (Proceedings of the IEEE Industrial Applications Conference, pp , 1986). [9] G. Pfaff, A. Weschta y A. Wick Design and Experimental Result of a Brushless AC Servo Drive, (IEEE Transactions on Industrial Applications, Vol IA-22, pp , 1984). [10] J. Restrepo, A. Bueno, M. Giménez, V. Guzmán y J. Aller, Generalized Algorithm for Pulse Width Modulation using a Two-Vectors Based Technique, Universidad Simón Bolívar, Caracas, Venezuela,

Control Vectorial de inversores trifásicos / y 2

Control Vectorial de inversores trifásicos / y 2 Control Vectorial de inversores trifásicos / y 2 Salvador Seguí Chilet, Francisco J. Gimeno Sales, Rafael Masot Peris, Salvador Orts Grau Dept. de Ingeniería Electrónica Universidad Politécnica de Valencia

Más detalles

MODULACIÓN PWM APLICADA A INVERSORES TRIFÁSICOS DENTRO DEL ESQUEMA DE ACCIONAMIENTOS ELÉCTRICOS AC.

MODULACIÓN PWM APLICADA A INVERSORES TRIFÁSICOS DENTRO DEL ESQUEMA DE ACCIONAMIENTOS ELÉCTRICOS AC. MODULACIÓN PWM APLICADA A INVERSORES TRIFÁSICOS DENTRO DEL ESQUEMA DE ACCIONAMIENTOS ELÉCTRICOS AC. López Mesa Diana Jimena, Camacho Muñoz Guillermo Alberto, Díaz Chávez Jaime Oscar, Gaviria López Carlos

Más detalles

Conciencia Tecnológica ISSN: 1405-5597 contec@mail.ita.mx Instituto Tecnológico de Aguascalientes México

Conciencia Tecnológica ISSN: 1405-5597 contec@mail.ita.mx Instituto Tecnológico de Aguascalientes México Conciencia Tecnológica ISSN: 1405-5597 contec@mail.ita.mx Instituto Tecnológico de Aguascalientes México Domínguez Sánchez, Gabriel; Esparza González, Mario Salvador; Román Loera, Alejandro Comparación

Más detalles

Inversores De Frecuencia

Inversores De Frecuencia Inversores De Frecuencia QUÉ ES UN INVERSOR? Un inversor es un control para motores, que hace variar la velocidad a motores C.A. De inducción. Esta variación la logra variando la frecuencia de alimentación

Más detalles

CAPITULO II CARACTERISTICAS DE LOS INSTRUMENTOS DE MEDICION

CAPITULO II CARACTERISTICAS DE LOS INSTRUMENTOS DE MEDICION CAPITULO II CARACTERISTICAS DE LOS INSTRUMENTOS DE MEDICION Como hemos dicho anteriormente, los instrumentos de medición hacen posible la observación de los fenómenos eléctricos y su cuantificación. Ahora

Más detalles

CAPITULO 4 IMPLEMENTACIÓN Y PRUEBAS EXPERIMENTALES. En este capítulo se mostrarán los resultados de la simulación del Corrector de Factor

CAPITULO 4 IMPLEMENTACIÓN Y PRUEBAS EXPERIMENTALES. En este capítulo se mostrarán los resultados de la simulación del Corrector de Factor CAPITULO 4 IMPLEMENTACIÓN Y PRUEBAS EXPERIMENTALES 4.1 INTRODUCCIÓN En este capítulo se mostrarán los resultados de la simulación del Corrector de Factor de Potencia, la cual fue realizada con el software

Más detalles

CAPÍTULO 7 7. CONCLUSIONES

CAPÍTULO 7 7. CONCLUSIONES CAPÍTULO 7 7. CONCLUSIONES 7.1. INTRODUCCIÓN 7.2. CONCLUSIONES PARTICULARES 7.3. CONCLUSIONES GENERALES 7.4. APORTACIONES DEL TRABAJO DE TESIS 7.5. PROPUESTA DE TRABAJOS FUTUROS 197 CAPÍTULO 7 7. Conclusiones

Más detalles

CAPITULO 4. Inversores para control de velocidad de motores de

CAPITULO 4. Inversores para control de velocidad de motores de CAPITULO 4. Inversores para control de velocidad de motores de inducción mediante relación v/f. 4.1 Introducción. La frecuencia de salida de un inversor estático está determinada por la velocidad de conmutación

Más detalles

Medidas de la tensión de salida en variadores de velocidad con osciloscopios digitales ScopeMeter Serie 190 de Fluke

Medidas de la tensión de salida en variadores de velocidad con osciloscopios digitales ScopeMeter Serie 190 de Fluke Aplicación Medidas de la tensión de salida en variadores de velocidad con osciloscopios digitales ScopeMeter Serie 190 de Fluke Por Viditec La utilización de variadores de velocidad o "inversores de frecuencia"

Más detalles

Diseño de un sistema de adquisición de datos de un micrófono utilizando una FPGA

Diseño de un sistema de adquisición de datos de un micrófono utilizando una FPGA Diseño de un sistema de adquisición de datos de un micrófono utilizando una FPGA Experimental III: Introducción a la Microfabricación y FPGA - Instituto Balseiro Mauricio Tosi Diciembre de 2013 Resumen

Más detalles

MEDIDA DE POTENCIA Y CORRECCIÓN DEL FACTOR DE POTENCIA

MEDIDA DE POTENCIA Y CORRECCIÓN DEL FACTOR DE POTENCIA MEDIDA DE POTENCIA Y CORRECCIÓN DEL FACTOR DE POTENCIA OBJETIVOS: I Utilizar el vatímetro análogo y el digital para medir la potencia activa absorbida por una puerta. II Repasar los fundamentos teóricos

Más detalles

11º) APLICACIONES TÍPICAS DE LOS UPS s ON LINE:

11º) APLICACIONES TÍPICAS DE LOS UPS s ON LINE: 11º) APLICACIONES TÍPICAS DE LOS UPS s ON LINE: Los UPS s ON LINE de ENERGIT S.A., tienen la finalidad de proveer constantemente energía ESTABILIZADA EN TENSIÓN Y FRECUENCIA, FILTRADA Y LIBRE DE SOBRETENSIONES,

Más detalles

Asignatura: CONTROL CLÁSICO Y MODERNO Departamento de Electrónica Facultad de Ingeniería U.Na.M 2015 GUIA DE LABORATORIO Nº2

Asignatura: CONTROL CLÁSICO Y MODERNO Departamento de Electrónica Facultad de Ingeniería U.Na.M 2015 GUIA DE LABORATORIO Nº2 GUIA DE LABORATORIO Nº2 Universidad Nacional de Misiones MÉTODOS CLÁSICOS PARA MODELACIÓN DE SISTEMAS 1. Objetivo de la práctica. Modelación a través de la Respuesta en frecuencia Este laboratorio tiene

Más detalles

Práctica No. 6 del Curso Meteorología y Transductores. "Mediciones de valor medio y valor eficaz"

Práctica No. 6 del Curso Meteorología y Transductores. Mediciones de valor medio y valor eficaz Objetivo. Práctica No. 6 del Curso Meteorología y Transductores. "Mediciones de valor medio y valor eficaz" Graficar varias señales del generador de señales y comprobar en forma experimental el voltaje

Más detalles

Controladores de Potencia Controladores AC AC

Controladores de Potencia Controladores AC AC Controladores AC AC Prof. Alexander Bueno M. 18 de noviembre de 2011 USB Aspectos Generales Los controladores AC-AC tiene como nalidad suministrar tensión y corriente alterna variable a partir de una fuente

Más detalles

Comparadores UNIDAD V

Comparadores UNIDAD V Comparadores UNIDAD V Tecsup Virtu@l Automatización Lógica Programable Índice MÓDULO 2: PROGRAMACIÓN AVANZADA Unidad V: COMPARADORES 1. Comparadores... 1 1.1 Introducción... 1 1.2 Objetivos... 1 1.3 Contenido...

Más detalles

Artículo Técnico: Análisis de las configuraciones de los sistemas híbridos fotovoltaicos.

Artículo Técnico: Análisis de las configuraciones de los sistemas híbridos fotovoltaicos. GRUPO DE SISTEMAS ELECTRÓNICOS DE POTENCIA (GSEP) LABORATORIO DE SISTEMAS FOTOVOLTAICOS (UC3M PV-Lab) Generaciones Fotovoltaicas de La Mancha División Fotovoltaica Artículo Técnico: Análisis de las configuraciones

Más detalles

DISEÑO E IMPLEMENTACIÓN DE UNA TARJETA DE ADQUISICIÓN DE DATOS PARA EL LABORATORIO DE TELECOMUNICACIONES DE LA FIEC.

DISEÑO E IMPLEMENTACIÓN DE UNA TARJETA DE ADQUISICIÓN DE DATOS PARA EL LABORATORIO DE TELECOMUNICACIONES DE LA FIEC. TESIS DISEÑO E IMPLEMENTACIÓN DE UNA TARJETA DE ADQUISICIÓN DE DATOS PARA EL LABORATORIO DE TELECOMUNICACIONES DE LA FIEC. DIRECTOR DE TESIS.- Ing. Francisco Novillo AUTOR Walter Mestanza Vera. Egresado

Más detalles

MODULO Nº12 TRANSISTORES MOSFET

MODULO Nº12 TRANSISTORES MOSFET MODULO Nº12 TRANSISTORES MOSFET UNIDAD: CONVERTIDORES CC - CC TEMAS: Transistores MOSFET. Parámetros del Transistor MOSFET. Conmutación de Transistores MOSFET. OBJETIVOS: Comprender el funcionamiento del

Más detalles

UTN- FRM Medidas Electrónicas I Página 1 de 6

UTN- FRM Medidas Electrónicas I Página 1 de 6 UTN- FRM Medidas Electrónicas I Página 1 de 6 Trabajo Practico Nº 8 MEDID DE POTENCI EN C Objeto: Medir potencia activa, reactiva y otros parámetros en C. Tener en cuenta los efectos de los elementos alinéales

Más detalles

Palabras Clave: Vídeo en FPGA, Procesamiento en Tiempo Real RESUMEN

Palabras Clave: Vídeo en FPGA, Procesamiento en Tiempo Real RESUMEN Procesamiento de Vídeo en Tiempo Real Utilizando FPGA J. G. Velásquez-Aguilar, A. Zamudio-Lara Centro de Investigación en Ingeniería y Ciencias Aplicadas, Universidad Autónoma del Estado de Morelos, Cuernavaca,

Más detalles

MODULO Nº6 TIRISTORES UNIDIRECCIONALES

MODULO Nº6 TIRISTORES UNIDIRECCIONALES MODULO Nº6 TIRISTORES UNIDIRECCIONLES UNIDD: CONVERTIDORES C - CC TEMS: Tiristores. Rectificador Controlado de Silicio. Parámetros del SCR. Circuitos de Encendido y pagado del SCR. Controlador de Ángulo

Más detalles

podemos enfocar al funcionamiento del robot, es decir la parte de electrónica. Para que el

podemos enfocar al funcionamiento del robot, es decir la parte de electrónica. Para que el CAPÍTULO 4 Funcionamiento del Robot Después de analizar paso a paso el diseño y funcionamiento de la interfase, nos podemos enfocar al funcionamiento del robot, es decir la parte de electrónica. Para que

Más detalles

Metodologías de diseño de hardware

Metodologías de diseño de hardware Capítulo 2 Metodologías de diseño de hardware Las metodologías de diseño de hardware denominadas Top-Down, basadas en la utilización de lenguajes de descripción de hardware, han posibilitado la reducción

Más detalles

TITULO: DISEÑO Y CONSTRUCCIÓN DE UN INVERSOR TRIFASICO TIPO PUENTE CON TRANSISTORES

TITULO: DISEÑO Y CONSTRUCCIÓN DE UN INVERSOR TRIFASICO TIPO PUENTE CON TRANSISTORES TITULO: DISEÑO Y CONSTRUCCIÓN DE UN INVERSOR TRIFASICO TIPO PUENTE CON TRANSISTORES Ricardo García Paredes 1, William Torres Escandón 2, Darío Zúñiga Burgos 3, Norman Chootong Ching 4 1 Ingeniero Electrónico

Más detalles

SISTEMA MONOFÁSICO Y TRIFÁSICO DE C.A Unidad 1 Magnetismo, electromagnetismo e Inducción electromagnética.

SISTEMA MONOFÁSICO Y TRIFÁSICO DE C.A Unidad 1 Magnetismo, electromagnetismo e Inducción electromagnética. SISTEMA MONOFÁSICO Y TRIFÁSICO DE C.A Unidad 1 Magnetismo, electromagnetismo e Inducción electromagnética. A diferencia de los sistemas monofásicos de C.A., estudiados hasta ahora, que utilizan dos conductores

Más detalles

UNIDADES DE ALMACENAMIENTO DE DATOS

UNIDADES DE ALMACENAMIENTO DE DATOS 1.2 MATÉMATICAS DE REDES 1.2.1 REPRESENTACIÓN BINARIA DE DATOS Los computadores manipulan y almacenan los datos usando interruptores electrónicos que están ENCENDIDOS o APAGADOS. Los computadores sólo

Más detalles

EL LOGRO DE SU FORMACIÓN DEPENDE TAMBIÉN DE USTED INSTRUCTOR: ING. JULIO CÉSAR BEDOYA PINO ELECTRÓNICA DIGITAL 2014

EL LOGRO DE SU FORMACIÓN DEPENDE TAMBIÉN DE USTED INSTRUCTOR: ING. JULIO CÉSAR BEDOYA PINO ELECTRÓNICA DIGITAL 2014 EL LOGRO DE SU FORMACIÓN DEPENDE TAMBIÉN DE USTED INSTRUCTOR: ING. JULIO CÉSAR BEDOYA PINO ELECTRÓNICA DIGITAL 2014 CONTENIDO ELECTRÓNICA DIGITAL SISTEMA DE REPRESENTACIÓN TABLA DE CONVERSIÓN EJERCICIOS

Más detalles

Un filtro general de respuesta al impulso finita con n etapas, cada una con un retardo independiente d i y ganancia a i.

Un filtro general de respuesta al impulso finita con n etapas, cada una con un retardo independiente d i y ganancia a i. Filtros Digitales Un filtro general de respuesta al impulso finita con n etapas, cada una con un retardo independiente d i y ganancia a i. En electrónica, ciencias computacionales y matemáticas, un filtro

Más detalles

CONVERTIDORES DIGITAL ANALÓGICO Y ANALÓGICO - DIGITAL

CONVERTIDORES DIGITAL ANALÓGICO Y ANALÓGICO - DIGITAL CONVERTIDORES DIGITAL ANALÓGICO Y ANALÓGICO - DIGITAL CONVERTIDORES DIGITAL ANALÓGICO Las dos operaciones E/S relativas al proceso de mayor importancia son la conversión de digital a analógico D/A y la

Más detalles

Gamatronic. Innovando en la. Tradición

Gamatronic. Innovando en la. Tradición Gamatronic µps-sp Innovando en la Tradición Gamatronic µps-sp La UPS modelo µps-sp es un sistema True Online Doble conversión microcontrolado que emplea modulación por ancho de pulso (PWM). Suministra

Más detalles

Mediciones Eléctricas

Mediciones Eléctricas Mediciones Eléctricas Grupos Electrógenos Mediciones Eléctricas Página 1 de 12 Tabla de Contenido Objetivo 1: Medidas de magnitudes eléctricas... 3 Objetivo 2: Generalidades sobre instrumentos de medición...

Más detalles

1. Representación de la información en los sistemas digitales

1. Representación de la información en los sistemas digitales Oliverio J. SantanaJaria Sistemas Digitales Ingeniería Técnica en Informática de Sistemas Curso 2005 2006 1. Representación de la información en los sistemas digitales Durante Hoy Los digital tipo muchos

Más detalles

MEDICIONES EN AC CON EL OSCILOSCOPIO EL OSCILOSCOPIO DIGITAL

MEDICIONES EN AC CON EL OSCILOSCOPIO EL OSCILOSCOPIO DIGITAL UNIVERSIDAD SIMON BOLIVAR DEPARTAMENTO DE ELECTRONICA Y CIRCUITOS LABORATORIO DE MEDICIONES ELECTRICAS EC 1281 PRACTICA Nº 8 MEDICIONES EN AC CON EL OSCILOSCOPIO EL OSCILOSCOPIO DIGITAL Familiarizarse

Más detalles

Nociones básicas sobre adquisición de señales

Nociones básicas sobre adquisición de señales Electrónica ENTREGA 1 Nociones básicas sobre adquisición de señales Elaborado por Juan Antonio Rubia Mena Introducción Con este documento pretendemos dar unas nociones básicas sobre las técnicas de medida

Más detalles

Especificación para la Interconexión a la Red Eléctrica de Baja Tensión de Sistemas Fotovoltaicos con capacidad hasta 30 kw

Especificación para la Interconexión a la Red Eléctrica de Baja Tensión de Sistemas Fotovoltaicos con capacidad hasta 30 kw Especificación para la Interconexión a la Red Eléctrica de Baja Tensión de Sistemas Fotovoltaicos con capacidad hasta 30 kw 1 Contenido de la Especificación 1.- Objetivo 2.- Campo de aplicación 3.- Normas

Más detalles

Circuito RC, Respuesta a la frecuencia.

Circuito RC, Respuesta a la frecuencia. Circuito RC, Respuesta a la frecuencia. A.M. Velasco (133384) J.P. Soler (133380) O.A. Botina (13368) Departamento de física, facultad de ciencias, Universidad Nacional de Colombia Resumen. Se armó un

Más detalles

Circuito RL, Respuesta a la frecuencia.

Circuito RL, Respuesta a la frecuencia. Circuito RL, Respuesta a la frecuencia. A.M. Velasco (133384) J.P. Soler (133380) O.A. Botina (133268) Departamento de física, facultad de ciencias, Universidad Nacional de Colombia Resumen. Se estudia

Más detalles

Control de la temperatura ambiente en un invernadero tipo venlo, mediante el uso del microcontrolador 8031

Control de la temperatura ambiente en un invernadero tipo venlo, mediante el uso del microcontrolador 8031 Control de la temperatura ambiente en un invernadero tipo venlo, mediante el uso del microcontrolador 8031 GENARO CALDERÓN RODRÍGUEZ HÉCTOR HORACIO OCHOA NARANJO FACULTAD DE INGENIERÍA MECANICA Y ELÉCTRICA

Más detalles

9) UPS s: EN QUE CONSISTEN DE QUE Y COMO PROTEGEN

9) UPS s: EN QUE CONSISTEN DE QUE Y COMO PROTEGEN 9) UPS s: EN QUE CONSISTEN DE QUE Y COMO PROTEGEN En el mercado actual hay gran cantidad de diseños de UPS. Puede llegar a ser confuso determinar que tipo de equipo es el más conveniente para nuestra carga

Más detalles

UNIVERSIDAD DON BOSCO

UNIVERSIDAD DON BOSCO CICLO 01-2015 UNIVERSIDAD DON BOSCO FACULTAD DE ESTUDIOS TECNOLÓGICOS COORDINACIÓN DE ELECTRÓNICA Y BIOMÉDICA GUÍA DE LABORATORIO Nº 06 NOMBRE DE LA PRACTICA: Análisis de Circuitos en Corriente Alterna

Más detalles

La importancia de dimensionar correctamente los sistemas de frenado en aerogeneradores residenciales.

La importancia de dimensionar correctamente los sistemas de frenado en aerogeneradores residenciales. La importancia de dimensionar correctamente los sistemas de frenado en aerogeneradores residenciales. La instalación de aerogeneradores en entornos urbanos requiere la implementación de importantes medidas

Más detalles

Figura 1 Fotografía de varios modelos de multímetros

Figura 1 Fotografía de varios modelos de multímetros El Multímetro El multímetro ó polímetro es un instrumento que permite medir diferentes magnitudes eléctricas. Así, en general, todos los modelos permiten medir: - Tensiones alternas y continuas - Corrientes

Más detalles

GUIAS ÚNICAS DE LABORATORIO GENERADOR DE NÚMEROS PRIMOS AUTOR: ALBERTO CUERVO

GUIAS ÚNICAS DE LABORATORIO GENERADOR DE NÚMEROS PRIMOS AUTOR: ALBERTO CUERVO GUIAS ÚNICAS DE LABORATORIO GENERADOR DE NÚMEROS PRIMOS AUTOR: ALBERTO CUERVO SANTIAGO DE CALI UNIVERSIDAD SANTIAGO DE CALI DEPARTAMENTO DE LABORATORIOS GENERADOR DE NÚMEROS PRIMOS. OBJETIVO Un circuito

Más detalles

GUIAS ÚNICAS DE LABORATORIO DIAGRAMA DE ESTADOS AUTOR: ALBERTO CUERVO SANTIAGO DE CALI UNIVERSIDAD SANTIAGO DE CALI DEPARTAMENTO DE LABORATORIOS

GUIAS ÚNICAS DE LABORATORIO DIAGRAMA DE ESTADOS AUTOR: ALBERTO CUERVO SANTIAGO DE CALI UNIVERSIDAD SANTIAGO DE CALI DEPARTAMENTO DE LABORATORIOS GUIAS ÚNICAS DE LABORATORIO DIAGRAMA DE ESTADOS AUTOR: ALBERTO CUERVO SANTIAGO DE CALI UNIVERSIDAD SANTIAGO DE CALI DEPARTAMENTO DE LABORATORIOS DIAGRAMA DE ESTADOS OBJETIVO El diseño de un circuito secuencial

Más detalles

La Computadora. Operaciones de entrada al ser receptora de información. Operaciones de cálculo, lógica y almacenamiento.

La Computadora. Operaciones de entrada al ser receptora de información. Operaciones de cálculo, lógica y almacenamiento. La Computadora Para entender mejor el concepto de Computadora tenemos que saber qué es la computación. La computación como tal, se entinte como el arte de contar o calcular, tal como lo afirma el Diccionario

Más detalles

Instrumentación con Microcontroladores. Ing. Rodrigo Alejandro Gutiérrez Arenas 22/03/12 al 29/03/12

Instrumentación con Microcontroladores. Ing. Rodrigo Alejandro Gutiérrez Arenas 22/03/12 al 29/03/12 Instrumentación con Microcontroladores Ing. Rodrigo Alejandro Gutiérrez Arenas 22/03/12 al 29/03/12 Contenido Problemas relativos a los proyectos Introducción y motivación para utilizar a Arduino Entrada

Más detalles

LA SIMULACIÓN AVANZADA EN ELECTRÓNICA DE POTENCIA

LA SIMULACIÓN AVANZADA EN ELECTRÓNICA DE POTENCIA LA SIMULACIÓN AVANZADA EN ELECTRÓNICA DE POTENCIA R. García-Gil, J. M. Espí, J. Castelló-Moreno Dpto. Ingeniería Electrónica. Escola Tècnica Superior d Enginyería - Universidad de Valencia. e-mail: garciagi@uv.es

Más detalles

TECNICO SUPERIOR UNIVERSITARIO EN TECNOLOGIAS DE LA INFORMACION Y COMUNICACIÓN ÁREA REDES Y TELECOMUNICACIONES.

TECNICO SUPERIOR UNIVERSITARIO EN TECNOLOGIAS DE LA INFORMACION Y COMUNICACIÓN ÁREA REDES Y TELECOMUNICACIONES. TECNICO SUPERIOR UNIVERSITARIO EN TECNOLOGIAS DE LA INFORMACION Y COMUNICACIÓN ÁREA REDES Y TELECOMUNICACIONES. HOJA DE ASIGNATURA CON DESGLOSE DE UNIDADES TEMÁTICAS 1. Nombre de la asignatura Sistemas

Más detalles

Control de motores de CC

Control de motores de CC Control de motores de CC Control por modulación de ancho de Pulso (PWM) Prof: Bolaños D (versión 1-8-11) Aportes propios y de Internet Uno de los problemas más fundamentales de la robótica es el control

Más detalles

by Tim Tran: https://picasaweb.google.com/lh/photo/sdo00o8wa-czfov3nd0eoa?full-exif=true

by Tim Tran: https://picasaweb.google.com/lh/photo/sdo00o8wa-czfov3nd0eoa?full-exif=true by Tim Tran: https://picasaweb.google.com/lh/photo/sdo00o8wa-czfov3nd0eoa?full-exif=true I. FUNDAMENTOS 3. Representación de la información Introducción a la Informática Curso de Acceso a la Universidad

Más detalles

Características Generales Estándar:

Características Generales Estándar: Características Generales Estándar: Tensión de entrada: 127 Vac (220 opcional) Tensión nominal de salida: 120 ó 127 Vac (220 opcional) Frecuencia 50/60 hz. Rango de entrada: +15% -30% Vac de tensión nominal.

Más detalles

AUTOMATIZACION. Reconocer la arquitectura y características de un PLC Diferenciar los tipos de entradas y salidas

AUTOMATIZACION. Reconocer la arquitectura y características de un PLC Diferenciar los tipos de entradas y salidas AUTOMATIZACION GUIA DE TRABAJO 2 DOCENTE: VICTOR HUGO BERNAL UNIDAD No. 3 OBJETIVO GENERAL Realizar una introducción a los controladores lógicos programables OBJETIVOS ESPECIFICOS: Reconocer la arquitectura

Más detalles

forma de entrenar a la nuerona en su aprendizaje.

forma de entrenar a la nuerona en su aprendizaje. Sistemas expertos e Inteligencia Artificial,Guía5 1 Facultad : Ingeniería Escuela : Computación Asignatura: Sistemas expertos e Inteligencia Artificial Tema: SISTEMAS BASADOS EN CONOCIMIENTO. Objetivo

Más detalles

DESCRIPCION DEL SITEMA MASTER.

DESCRIPCION DEL SITEMA MASTER. DESCRIPCION DEL SITEMA MASTER. ESTRUCTURA. El sistema MASTER (Sistema Modular para Control Adaptativo en Tiempo Real) se ha implementado en base a un computador compatible PC-AT, dotado de una tarjeta

Más detalles

INTRODUCCION A LOS SISTEMAS R.F.I.D.

INTRODUCCION A LOS SISTEMAS R.F.I.D. INTRODUCCION A LOS SISTEMAS RFID INTRODUCCION A LOS SISTEMAS R.F.I.D. Servicios Informáticos KIFER, S.L. Antxota Kalea, Nº. 1, Of. 2B. 20160 LASARTE - ORIA (GIPUZKOA) 1/8 www.kifer.es - kifer@kifer.es

Más detalles

Reproducción de una Imagen en un Monitor VGA Utilizando un FPGA

Reproducción de una Imagen en un Monitor VGA Utilizando un FPGA 7 Reproducción de una Imagen en un Monitor VGA Utilizando un FPGA Michael Alejandro Diaz Illa, Alfredo Granados Ly Facultad de Ingeniería Electrónica y Eléctrica, Universidad Nacional Mayor de San Marcos,

Más detalles

UNIDAD VI. También cuenta con diferentes escalas de amplitud para cada canal, así como también en la base de tiempo.

UNIDAD VI. También cuenta con diferentes escalas de amplitud para cada canal, así como también en la base de tiempo. UNIDAD VI 6.1 Plano X-Y, escalas. El osciloscopio es un medidor de indicación cartesiana x-y, es decir, grafica formas de onda en dos planos que pueden ser voltajes vs. tiempo, voltaje vs. voltaje, etc.

Más detalles

SIIGO Pyme. Informes de Saldos y Movimientos de Inventarios. Cartilla I

SIIGO Pyme. Informes de Saldos y Movimientos de Inventarios. Cartilla I SIIGO Pyme Informes de Saldos y Movimientos de Inventarios Cartilla I Tabla de Contenido 1. Presentación 2. Qué son Inventarios? 3. Qué son Informes? 4. Qué son Informes de Saldos y Movimientos en Inventarios?

Más detalles

Práctica 3. LABORATORIO

Práctica 3. LABORATORIO Práctica 3. LABORATORIO Electrónica de Potencia Convertidor DC/AC (inversor) de 220Hz controlado por ancho de pulso con modulación sinusoidal SPWM 1. Diagrama de Bloques En esta práctica, el alumnado debe

Más detalles

1. SISTEMAS DIGITALES

1. SISTEMAS DIGITALES 1. SISTEMAS DIGITALES DOCENTE: ING. LUIS FELIPE CASTELLANOS CASTELLANOS CORREO ELECTRÓNICO: FELIPECASTELLANOS2@HOTMAIL.COM FELIPECASTELLANOS2@GMAIL.COM PAGINA WEB MAESTROFELIPE.JIMDO.COM 1.1. INTRODUCCIÓN

Más detalles

CAPITULO 4: LA UPS SOLAR Y SISTEMAS PARECIDOS EN EL MERCADO

CAPITULO 4: LA UPS SOLAR Y SISTEMAS PARECIDOS EN EL MERCADO CAPÍTULO 4 46 CAPITULO 4: LA UPS SOLAR Y SISTEMAS PARECIDOS EN EL MERCADO 4.1 Introducción Este es el capítulo donde se presenta el proyecto, es decir, la UPS Solar que se ha diseñado junto con su explicación.

Más detalles

PROCEDIMIENTO PARA PRUEBAS DE VALIDACION DE MODELOS MATEMATICOS DE UNIDADES GENERADORAS

PROCEDIMIENTO PARA PRUEBAS DE VALIDACION DE MODELOS MATEMATICOS DE UNIDADES GENERADORAS PROCEDIMIENTO PARA PRUEBAS DE VALIDACION DE MODELOS MATEMATICOS DE UNIDADES GENERADORAS 1. OBJETIVO Verificar la validez del modelo matemático de los sistema de control de las unidades generadoras del

Más detalles

UNIVERSIDAD TECNOLÓGICA DE LA MIXTECA. Control Vectorial de Corriente del Inversor Trifásico con Carga RL

UNIVERSIDAD TECNOLÓGICA DE LA MIXTECA. Control Vectorial de Corriente del Inversor Trifásico con Carga RL UNIVERSIDAD TECNOLÓGICA DE LA MIXTECA Control Vectorial de Corriente del Inversor Trifásico con Carga RL Tesis para obtener el título de: Ingeniero en Mecatrónica Presenta: Carlos Escobar Noriega Director

Más detalles

INSTITUTO TECNOLOGICO DE COSTA RICA INGENIRIA ELECTRONICA ELECTRONICA DE POTENCIA PROF. ING. JUAN CARLOS JIMENEZ TEMA: CIRCUITOS INVERSORES

INSTITUTO TECNOLOGICO DE COSTA RICA INGENIRIA ELECTRONICA ELECTRONICA DE POTENCIA PROF. ING. JUAN CARLOS JIMENEZ TEMA: CIRCUITOS INVERSORES INSTITUTO TECNOLOGICO DE COSTA RICA INGENIRIA ELECTRONICA ELECTRONICA DE POTENCIA PROF. ING. JUAN CARLOS JIMENEZ TEMA: CIRCUITOS INVERSORES Son sistemas que funcionan automáticamente, sin necesidad de

Más detalles

1. QUÉ SON LOS ARMÓNICOS?

1. QUÉ SON LOS ARMÓNICOS? POWER ELECTRONICS ARMÓNICOS EN SECTORES INDUSTRIALES 1. QUÉ SON LOS ARMÓNICOS? Se puede demostrar que cualquier forma de onda periódica (repetitiva) puede ser representada como una serie de ondas senoidales

Más detalles

AMPLIFICACION EN POTENCIA. Figura 1. Estructura Básica de un Convertidor DC/AC.

AMPLIFICACION EN POTENCIA. Figura 1. Estructura Básica de un Convertidor DC/AC. INTRODUCCION: Los convertidores DC/AC conocidos también como inversores, son dispositivos electrónicos que permiten convertir energía eléctrica DC en alterna AC. En el desarrollo de esta sesión de laboratorio,

Más detalles

MULTIMETRO DIGITAL (MARCA FLUKE. MODELO 87) INTRODUCCIÓN

MULTIMETRO DIGITAL (MARCA FLUKE. MODELO 87) INTRODUCCIÓN MULTIMETRO DIGITAL (MARCA FLUKE. MODELO 87) INTRODUCCIÓN Este es un compacto y preciso multímetro digital de 4 ½ dígitos, opera con batería y sirve para realizar mediciones de voltaje y corriente de C.A.

Más detalles

ÍNDICE DISEÑO DE CONTADORES SÍNCRONOS JESÚS PIZARRO PELÁEZ

ÍNDICE DISEÑO DE CONTADORES SÍNCRONOS JESÚS PIZARRO PELÁEZ ELECTRÓNICA DIGITAL DISEÑO DE CONTADORES SÍNCRONOS JESÚS PIZARRO PELÁEZ IES TRINIDAD ARROYO DPTO. DE ELECTRÓNICA ÍNDICE ÍNDICE... 1 1. LIMITACIONES DE LOS CONTADORES ASÍNCRONOS... 2 2. CONTADORES SÍNCRONOS...

Más detalles

PRACTICA Nº 4 CARACTERISTICAS DEL MOSFET, AMPLIFICADOR DRAIN COMUN

PRACTICA Nº 4 CARACTERISTICAS DEL MOSFET, AMPLIFICADOR DRAIN COMUN UNIVERSIDAD SIMON BOLIVAR DPTO. ELECTRONICA Y CIRCUITOS CIRCUITOS ELECTRONICOS I EC1177 PRACTICA Nº 4 CARACTERISTICAS DEL MOSFET, AMPLIFICADOR DRAIN COMUN OBJETIVO Familiarizar al estudiante con el uso

Más detalles

PROCESAMIENTO DIGITAL DE IMÁGENES MEDIANTE EL USO DE UN FPGA Y LENGUAJE VHDL

PROCESAMIENTO DIGITAL DE IMÁGENES MEDIANTE EL USO DE UN FPGA Y LENGUAJE VHDL PROCESAMIENTO DIGITAL DE IMÁGENES MEDIANTE EL USO DE UN FPGA Y LENGUAJE VHDL N. E. Chávez Rodríguez*, A. M. Vázquez Vargas** *Departamento de Computación **Departamento de Procesamiento Digital de Señales

Más detalles

Capítulo I. Convertidores de CA-CD y CD-CA

Capítulo I. Convertidores de CA-CD y CD-CA Capítulo I. Convertidores de CA-CD y CD-CA 1.1 Convertidor CA-CD Un convertidor de corriente alterna a corriente directa parte de un rectificador de onda completa. Su carga puede ser puramente resistiva,

Más detalles

PIC MICRO ESTUDIO Timer Monoestable/Biestable ajustable hasta 99H 59M 59S Timer 2T Clave: 722-1 www.electronicaestudio.com

PIC MICRO ESTUDIO Timer Monoestable/Biestable ajustable hasta 99H 59M 59S Timer 2T Clave: 722-1 www.electronicaestudio.com PIC MICRO ESTUDIO Timer Monoestable/Biestable ajustable hasta 99H 59M 59S Timer 2T Clave: 722-1 www.electronicaestudio.com Guía de Operación P I C M I C R O E S T D U D I O Timer Monoestable/Biestable

Más detalles

Videos didácticos para el aprendizaje de PSPICE

Videos didácticos para el aprendizaje de PSPICE Videos didácticos para el aprendizaje de PSPICE 1.- Introducción Al ritmo que se ha generalizado el uso de PSPICE en la enseñanza universitaria, también se han desarrollado recursos didácticos para favorecer

Más detalles

APLICACIONES CON OPTOS

APLICACIONES CON OPTOS APLICACIONES CON OPTOS Los modos básicos de operación de los optoacopladores son: por pulsos y lineal, en pulsos el LED sé switchea on-off (figura 4). En el modo lineal, la entrada es polarizada por una

Más detalles

Adquisición de Datos usando Matlab

Adquisición de Datos usando Matlab 21 Adquisición de Datos usando Matlab Bruno Vargas Tamani Facultad de Ingeniería Electrónica y Eléctrica, Universidad Nacional Mayor de San Marcos, Lima, Perú RESUMEN: La interconexión a nivel de computadoras

Más detalles

UNIDADES FUNCIONALES DEL ORDENADOR TEMA 3

UNIDADES FUNCIONALES DEL ORDENADOR TEMA 3 UNIDADES FUNCIONALES DEL ORDENADOR TEMA 3 INTRODUCCIÓN El elemento hardware de un sistema básico de proceso de datos se puede estructurar en tres partes claramente diferenciadas en cuanto a sus funciones:

Más detalles

TÉCNICO SUPERIOR UNIVERSITARIO EN MECATRÓNICA ÁREA INSTALACIONES ELÉCTRICAS EFICIENTES.

TÉCNICO SUPERIOR UNIVERSITARIO EN MECATRÓNICA ÁREA INSTALACIONES ELÉCTRICAS EFICIENTES. TÉCNICO SUPERIOR UNIVERSITARIO EN ÁREA INSTALACIONES ELÉCTRICAS EFICIENTES. HOJA DE ASIGNATURA CON DESGLOSE DE UNIDADES TEMÁTICAS 1. Nombre de la asignatura Calidad y eficiencia de la energía. 2. Competencias

Más detalles

Circuitos Electrónicos. Primer parcial curso 2006-07

Circuitos Electrónicos. Primer parcial curso 2006-07 Circuitos Electrónicos. Primer parcial curso 2006-07 Ante el creciente interés por las apuestas deportivas, el Departamento Técnico de las Loterías y Apuestas del Estado os ha encargado la actualización

Más detalles

CIRCUITOS ARITMÉTICOS

CIRCUITOS ARITMÉTICOS LABORATORIO # 6 Realización: 26-05-2011 CIRCUITOS ARITMÉTICOS 1. OBJETIVOS Comprender los circuitos aritméticos dentro de la lógica binaria Utilizar sumadores totales de cuatro bits dentro de un Circuito

Más detalles

INTRODUCCIÓN A LOS BALASTROS ELECTRÓNICOS

INTRODUCCIÓN A LOS BALASTROS ELECTRÓNICOS 1 INTRODUCCIÓN A LOS BALASTROS ELECTRÓNICOS 1.1 INTRODUCCIÓN En la actualidad existe la necesidad de controlar la potencia eléctrica de los sistemas de iluminación, tracción y motores eléctricos debido

Más detalles

REGISTRO DE PEDIDOS DE CLIENTES MÓDULO DE TOMA DE PEDIDOS E INTEGRACIÓN CON ERP

REGISTRO DE PEDIDOS DE CLIENTES MÓDULO DE TOMA DE PEDIDOS E INTEGRACIÓN CON ERP REGISTRO DE PEDIDOS DE CLIENTES MÓDULO DE TOMA DE PEDIDOS E INTEGRACIÓN CON ERP Visual Sale posee módulos especializados para el método de ventas transaccional, donde el pedido de parte de un nuevo cliente

Más detalles

ELECTRÓNICA DE POTENCIA

ELECTRÓNICA DE POTENCIA ELECTRÓNICA DE POTENCIA RELACIÓN DE PROBLEMAS (2) PROBLEMA 6: Factor de potencia Calcular el factor de potencia k p del circuito de la figura 6.1, en el que la corriente a su salida presenta determinados

Más detalles

Sistemas de Alimentación Ininterrumpible (UPS) Electrónica Industrial A. Dr. Ciro Alberto Núñez Gutiérrez

Sistemas de Alimentación Ininterrumpible (UPS) Electrónica Industrial A. Dr. Ciro Alberto Núñez Gutiérrez UPS Los sistemas de alimentación ininterrumpible (UPS por sus siglas en inglés) son los equipos más completos para eliminar los problemas de la red de alimentación. Sin embargo, son equipos que dependiendo

Más detalles

EducaBot. Motores y movilidad.

EducaBot. Motores y movilidad. CURSO PROVINCIAL: CONTROL Y ROBÓTICA EN TECNOLOGÍA ROBÓTICA EDUCATIVA EducaBot. Motores y movilidad. Manuel Hidalgo Díaz Antonio Martínez Núñez Noviembre 2009 EducaBot 1ª fase. Terminado la placa de conexionado

Más detalles

UNIVERSIDAD DE SAN CARLOS DE GUATEMALA FACULTAD DE INGENIERIA ESCUELA DE MECANICA ELECTRICA LABORATORIO DE ELECTRONICA PENSUM COMUNICACIONES 3

UNIVERSIDAD DE SAN CARLOS DE GUATEMALA FACULTAD DE INGENIERIA ESCUELA DE MECANICA ELECTRICA LABORATORIO DE ELECTRONICA PENSUM COMUNICACIONES 3 UNIVERSIDAD DE SAN CARLOS DE GUATEMALA FACULTAD DE INGENIERIA ESCUELA DE MECANICA ELECTRICA LABORATORIO DE ELECTRONICA PENSUM COMUNICACIONES 3 ~ 1 ~ ÍNDICE Introducción...página 3 Prácticas LabVolt...página

Más detalles

La presente tesis pretende que los estudiantes observen la teoría de las acciones de control

La presente tesis pretende que los estudiantes observen la teoría de las acciones de control CAPÍTULO V. CONCLUSIONES. La presente tesis pretende que los estudiantes observen la teoría de las acciones de control de forma virtual al mismo tiempo analicen físicamente los sistemas electrónicos cuando

Más detalles

Facultad: Ingeniería Escuela: Electrónica Asignatura: Sistemas de comunicación I Tema: Modulación de Amplitud Segunda Parte.

Facultad: Ingeniería Escuela: Electrónica Asignatura: Sistemas de comunicación I Tema: Modulación de Amplitud Segunda Parte. 1 Facultad: Ingeniería Escuela: Electrónica Asignatura: Sistemas de comunicación I Tema: Modulación de Amplitud Segunda Parte. Objetivos Medir el porcentaje de modulación de una señal de AM. Medir y constatar

Más detalles

CALIDAD EN TUBOS T8 LED

CALIDAD EN TUBOS T8 LED CALIDAD EN TUBOS T8 LED Realizamos una comparación entre tres tipos de tubo LED, cada uno con diferente calidad; en este documento se explican sus diferencias. T8 120cm -18W Alta Calidad YAPI LED s Para

Más detalles

DESARROLLO DE UN MANUAL CON LOS PRINCIPIOS BÁSICOS DE FUNCIONAMIENTO Y APLICACIÓN DE LOS SINCROFASORES

DESARROLLO DE UN MANUAL CON LOS PRINCIPIOS BÁSICOS DE FUNCIONAMIENTO Y APLICACIÓN DE LOS SINCROFASORES DESARROLLO DE UN MANUAL CON LOS PRINCIPIOS BÁSICOS DE FUNCIONAMIENTO Y APLICACIÓN DE LOS SINCROFASORES Estudiantes: Estiven Espinosa Victoria Erika Sorlenyi Valencia Cardoso Director: Ing. Santiago Gómez

Más detalles

3.1 DEFINICIÓN. Figura Nº 1. Vector

3.1 DEFINICIÓN. Figura Nº 1. Vector 3.1 DEFINICIÓN Un vector (A) una magnitud física caracterizable mediante un módulo y una dirección (u orientación) en el espacio. Todo vector debe tener un origen marcado (M) con un punto y un final marcado

Más detalles

ANEXO - D LOGICA BINARIA Aplicada a diagramas en escalera y de bloques para la programación de un mini PLC

ANEXO - D LOGICA BINARIA Aplicada a diagramas en escalera y de bloques para la programación de un mini PLC ANEXO - D LOGICA BINARIA Aplicada a diagramas en escalera y de bloques para la programación de un mini PLC La lógica binaria fue desarrollada a principios del siglo XIX por el matemático George Boole para

Más detalles

Fuentes de alimentación

Fuentes de alimentación Fuentes de alimentación Electrocomponentes SA Temario Reguladores lineales Descripción de bloques Parámetros de selección Tipos de reguladores Productos y aplicaciones Reguladores switching Principio de

Más detalles

INDICE Capitulo I. 1. Introducción a los Principios de las Máquinas Capitulo 2. Transformadores

INDICE Capitulo I. 1. Introducción a los Principios de las Máquinas Capitulo 2. Transformadores INDICE Prefacio XXI Capitulo I. 1. Introducción a los Principios de las Máquinas 1.1. Las máquinas eléctricas y los transformadores en la vida cotidiana 1 1.2. Nota sobre las unidades y notación Notación

Más detalles

CAPÍTULO III. ETAPA DE CONVERSIÓN Y FILTRADO. de la industria tienen algo en común, la utilización de electrónica de potencia dentro de

CAPÍTULO III. ETAPA DE CONVERSIÓN Y FILTRADO. de la industria tienen algo en común, la utilización de electrónica de potencia dentro de CAPÍTULO III. ETAPA DE CONVERSIÓN Y FILTRADO 3.. Introducción. Muchos de los sistemas electrónicos utilizados para aplicaciones dentro del campo de la industria tienen algo en común, la utilización de

Más detalles

Nombre de la asignatura: Electrónica de Potencia. Créditos: 5. Aportación al perfil profesional

Nombre de la asignatura: Electrónica de Potencia. Créditos: 5. Aportación al perfil profesional Nombre de la asignatura: Electrónica de Potencia Créditos: 5 Aportación al perfil profesional 1. Analizar, sintetizar, diseñar, simular, construir, Integrar, instalar, construir, optimizar, operar, controlar,

Más detalles

Instituto Tecnológico de Massachussets Departamento de Ingeniería Eléctrica e Informática. 6.002 Circuitos electrónicos Otoño 2000

Instituto Tecnológico de Massachussets Departamento de Ingeniería Eléctrica e Informática. 6.002 Circuitos electrónicos Otoño 2000 Instituto Tecnológico de Massachussets Departamento de Ingeniería Eléctrica e Informática 6.002 Circuitos electrónicos Otoño 2000 Tarea para casa 11 Boletín F00-057 Fecha de entrega: 6/12/00 Introducción

Más detalles

Tema 16 ELECTRÓNICA DIGITAL LENGUAJES DE DESCRIPCIÓN DE LOS SISTEMAS DIGITALES (PARTE 1)

Tema 16 ELECTRÓNICA DIGITAL LENGUAJES DE DESCRIPCIÓN DE LOS SISTEMAS DIGITALES (PARTE 1) ELECTRÓNICA DIGITAL Tema 16 LENGUAJES DE DESCRIPCIÓN DE LOS SISTEMAS DIGITALES (PARTE 1) IMPORTANCIA DE LOS ESQUEMAS Los circuitos y sistemas electrónicos digitales cuya complejidad es limitada, se pueden

Más detalles

Curso Completo de Electrónica Digital

Curso Completo de Electrónica Digital CURSO Curso Completo de Electrónica Digital Departamento de Electronica y Comunicaciones Universidad Pontifica de Salamanca en Madrid Prof. Juan González Gómez Capítulo 4 CIRCUITOS COMBINACIONALES 4.1.

Más detalles

NORMA TÉCNICA COLOMBIANA 2540

NORMA TÉCNICA COLOMBIANA 2540 NORMA TÉCNICA NTC COLOMBIANA 2540 1997-06-25 FUENTES DE POTENCIA ESTABILIZADAS, SALIDA c.a. E: STABILIZED POWER SUPPLIES a.c. OUTPUT CORRESPONDENCIA: DESCRIPTORES: corriente eléctrica; potencia eléctrica;

Más detalles