Diseño Lógico I Facultad de Ciencias Exactas y Tecnología UNT. Introducción. Fabricantes. Elevada complejidad. Facilitar tareas de diseño

Tamaño: px
Comenzar la demostración a partir de la página:

Download "Diseño Lógico I Facultad de Ciencias Exactas y Tecnología UNT. Introducción. Fabricantes. Elevada complejidad. Facilitar tareas de diseño"

Transcripción

1 Introducción Fabricantes Elevada complejidad Facilitar tareas de diseño Herramientas CAD

2 DESCRIPCIÓN GRÁFICA DEL MODELO DE DISEÑO DE SISTEMAS COMPLEJOS

3 Proceso de diseño Simplificado Antes de realizar un prototipo de un sistema digital complejo hay que comprobar que funciona de acuerdo con las especificaciones (Requirements). Esto da lugar a que su proceso de diseño se pueda dividir de forma simplificada en tres fases: Especificación de la función Descripción del sistema Verificación Comprobación del funcionamiento Optimización Realización física del sistema en alguna FPGA Implementación

4 Descripción del sistema digitales complejos - Descripción estructural (Structural modeling) Especifica los elementos y sus interconexiones -Descripción funcional o de comportamiento (Behavioural modeling) Describe el funcionamiento -Descripción física o geométrica (Physical design) Genera los datos para la realización física

5 LENGUAJES DE DESCRIPCIÓN DE LOS SISTEMAS DIGITALES HDL (acrónimo de Hardware Description Language) Existen múltiples formas de describir los sistemas digitales complejos, que tienen como objetivo facilitar su implementación y la comprobación de su funcionamiento mediante herramientas (Tools) de diseño asistido por computador conocido como CAD (Computer Aided Design) o EDA (Electronic Design Automation). LENGUAJES HDL -HDL sencillos no estructurados orientados a la realización de un único circuito o módulo por fichero. -HDL estructurados o complejos que permiten definir submódulos y enlazarlos jerárquicamente en un único fichero.

6 Lenguajes de descripción de sistemas digitales Lenguajes de descripción de hardware (Descripción Funcional, Estructural y Física) HDL No estructurados Estructurados Único circuito o módulo Permite definir submódulos Jerarquías Bibliotecas

7 HDL no estructurados No estructurados Único circuito o módulo ABEL ABEL (A+PLUS) XABEL ABEL DataI/O Altera ipls Xilinx Lattice Altera para Intel

8 HDL estructurados Estructurados Submódulos o Subcircuitos VHDL (Very High Descrition Lenguage) Veriilog Otros Departamento de Defensa de los EEUU Cadence AHDL Altera Norma IEEE 1076 (1987) Norma IEEE (1995)

9 Características de los HDL estructurados Capacidad multinivel Niveles de jerarquías Capacidad de combinar descripción funcional y de comportamiento Instrucciones que definen una sintaxis independiente del nivel Independencia tecnológica. No depende de la forma de realización física posterior Universalidad Compatibles con gran número de herramientas CAD Facilidad de comprensión y lectura Simplifica la documentación

10 Sistemas CAD CAD Altera Xilinx Lattice MAX+PLUS II Quartus Foundation ispdesignexpert

11 Diagrama básico de un proceso de diseño

12 Diagrama detallado de un proceso de diseño Diseño Lógico I

13 Diseño Jerárquico en HDL El diseño de sistemas digitales complejos se simplifica si se lo divide en módulos mas sencillos. Se encuentran las soluciones de estos módulos simples. Luego se las une y se encuentra la solución global. Entre las alternativas par a unir las soluciones simples se tiene: 1) Unir en un diseño esquemático dos módulos HDL 2) Unir en un único diseño HDL, mediante la incorporación de componentes, los HDLs de componentes mas simples. 3) También es posible combinar módulos descriptos en HDL, gráficamente o temporalmete. PROYECTO

14 Diseño Jerárquico en HDL. Ejemplo: Decodificador de un contador mod 5 Un decodificador detecta un estado de conteo mediante un patrón de bits únicos para ese estado. La tabla de funcionamiento del decodificador de contador es: Clock (entrada) Conta (Señal intermedia) Deco (Salida)

15 Diseño Jerárquico en HDL. Ejemplo: Decodificador de un contador mod 5. Componentes simples Contador + Decodificador

16 Diseño Jerárquico en HDL. Ejemplo: Decodificador de un contador MOD 5. Componentes simples. Simulación Contador Decodificador

17 Diseño Jerárquico en HDL. Decodificador de un contador mod 5. Editor gráfico y simulación

18 Diseño Jerárquico en HDL. Decodificador de un contador MOD 5. HDL y simulación Diseño Lógico I

Diseño de Sistemas Embebidos en FPGA Curso de Posgrado Facultad de Ciencias Exactas y Tecnología UNT.

Diseño de Sistemas Embebidos en FPGA Curso de Posgrado Facultad de Ciencias Exactas y Tecnología UNT. Tema 5 Parte 1: FPGA. Diseños de Sistemas Digitales Parte 2: Lenguajes de descripción de Hardware#5 Introducción Fabricantes Elevada complejidad Facilitar tareas de diseño Herramientas CAD DESCRIPCIÓN

Más detalles

Tema 16 ELECTRÓNICA DIGITAL LENGUAJES DE DESCRIPCIÓN DE LOS SISTEMAS DIGITALES (PARTE 1)

Tema 16 ELECTRÓNICA DIGITAL LENGUAJES DE DESCRIPCIÓN DE LOS SISTEMAS DIGITALES (PARTE 1) ELECTRÓNICA DIGITAL Tema 16 LENGUAJES DE DESCRIPCIÓN DE LOS SISTEMAS DIGITALES (PARTE 1) IMPORTANCIA DE LOS ESQUEMAS Los circuitos y sistemas electrónicos digitales cuya complejidad es limitada, se pueden

Más detalles

Metodologías de diseño de hardware

Metodologías de diseño de hardware Capítulo 2 Metodologías de diseño de hardware Las metodologías de diseño de hardware denominadas Top-Down, basadas en la utilización de lenguajes de descripción de hardware, han posibilitado la reducción

Más detalles

SINTESIS Y DESCRIPCIÓN DE CIRCUITOS DIGITALES UTILIZANDO VHDL ANTECEDENTES

SINTESIS Y DESCRIPCIÓN DE CIRCUITOS DIGITALES UTILIZANDO VHDL ANTECEDENTES ANTECEDENTES En los últimos diez años la industria electrónica ha tenido una gran evolución en el desarrollo de sistemas digitales; desde computadoras personales, sistemas de audio y vídeo hasta dispositivos

Más detalles

Diseño Lógico I Facultad de Ciencias Exactas y Tecnología UNT. LENGUAJES DE DESCRIPCIÓN DE HARDWARE

Diseño Lógico I Facultad de Ciencias Exactas y Tecnología UNT. LENGUAJES DE DESCRIPCIÓN DE HARDWARE LENGUAJES DE DESCRIPCIÓN DE HARDWARE METODOS PARA DESCRIBIR CIRCUITOS LÓGICOS Descripción verbal Tablas de verdad Diagrama esquemático Expresiones del álgebra booleana Diagrama de tiempos Lenguajes de

Más detalles

CURSO DISEÑO DE SISTEMAS DIGITALES MEDIANTE VHDL PARA SU IMPLEMENTACIÓN CON FPGAS. 40 horas (15 horas teoría + 25 horas práctica)

CURSO DISEÑO DE SISTEMAS DIGITALES MEDIANTE VHDL PARA SU IMPLEMENTACIÓN CON FPGAS. 40 horas (15 horas teoría + 25 horas práctica) CURSO DISEÑO DE SISTEMAS DIGITALES MEDIANTE VHDL PARA SU IMPLEMENTACIÓN CON FPGAS 40 horas (15 horas teoría + 25 horas práctica) OBJETIVOS Aprendizaje del lenguaje VHDL para el diseño de sistemas digitales

Más detalles

PROCESAMIENTO DIGITAL DE IMÁGENES MEDIANTE EL USO DE UN FPGA Y LENGUAJE VHDL

PROCESAMIENTO DIGITAL DE IMÁGENES MEDIANTE EL USO DE UN FPGA Y LENGUAJE VHDL PROCESAMIENTO DIGITAL DE IMÁGENES MEDIANTE EL USO DE UN FPGA Y LENGUAJE VHDL N. E. Chávez Rodríguez*, A. M. Vázquez Vargas** *Departamento de Computación **Departamento de Procesamiento Digital de Señales

Más detalles

VHDL. Lenguaje de descripción hardware Introducción e historia

VHDL. Lenguaje de descripción hardware Introducción e historia VHDL. Lenguaje de descripción hardware Introducción e historia 2007 A.G.O. All Rights Reserved s descriptivos y niveles de abstracción s descriptivos: Comportamiento Se realiza la función sin información

Más detalles

Breve Curso de VHDL. M. C. Felipe Santiago Espinosa. Profesor Investigador UTM

Breve Curso de VHDL. M. C. Felipe Santiago Espinosa. Profesor Investigador UTM Breve Curso de VHDL M. C. Felipe Santiago Espinosa Profesor Investigador UTM Noviembre de 2007 1 Orden del curso 1. Introducción al diseño con VHDL. 2. Unidades de diseño en VHDL. 3. Señales. 4. Especificación

Más detalles

Laboratorio de Diseño de Sistemas Digitales

Laboratorio de Diseño de Sistemas Digitales Proceso de Diseño Laboratorio de Diseño de Sistemas Digitales I Semestre 2008 Ing. Gabriela Ortiz L. Diseño Implementación Depuración Diseño: Concepto inicial. Cuál es la función que lleva a cabo el objeto?

Más detalles

Instalación de Sistemas de Automatización y Datos

Instalación de Sistemas de Automatización y Datos UNIVERSIDADE DE VIGO E. T. S. Ingenieros Industriales 5º Curso Orientación Instalaciones y Construcción Instalación de Sistemas de Automatización y Datos José Ignacio Armesto Quiroga http://www www.disa.uvigo.es/

Más detalles

6. SISTEMAS CAD-CAM (CAM) 6.1. CONCEPTO DE CAM

6. SISTEMAS CAD-CAM (CAM) 6.1. CONCEPTO DE CAM 6.1. CONCEPTO DE CAM Las siglas CAM corresponden al acrónimo de Computer Aided Manufacturing, Fabricación asistida por ordenador. Por CAM se entiende la utilización de ordenadores para tareas técnicas

Más detalles

Desarrollo y Construcción de Prototipos Electrónicos

Desarrollo y Construcción de Prototipos Electrónicos Desarrollo y Construcción de Prototipos Electrónicos U.D. 1.1.- Diseño electrónico Proceso de diseño Herramientas de diseño: CAD (Computer Aided Design) = Diseño asistido por ordenador CAM (Computer Aided

Más detalles

Introducción a la simulación de circuitos

Introducción a la simulación de circuitos Introducción a la simulación de circuitos Microcontroladores Simulación Electrónica Escuela de Ingeniería Industrial Profesor: Dr. Camilo Quintáns Graña Vigo, 0 LA SIMULACIÓN EN LA INGENIERÍA. La simulación

Más detalles

PROGRAMACIÓN ORIENTADA A OBJETOS Master de Computación. II MODELOS y HERRAMIENTAS UML. II.2 UML: Modelado de casos de uso

PROGRAMACIÓN ORIENTADA A OBJETOS Master de Computación. II MODELOS y HERRAMIENTAS UML. II.2 UML: Modelado de casos de uso PROGRAMACIÓN ORIENTADA A OBJETOS Master de Computación II MODELOS y HERRAMIENTAS UML 1 1 Modelado de casos de uso (I) Un caso de uso es una técnica de modelado usada para describir lo que debería hacer

Más detalles

XVI. óptimo de las capacidades de cada circuito.

XVI. óptimo de las capacidades de cada circuito. INTRODUCCIÓN Se denominan circuitos digitales configurables a aquéllos circuitos digitales cuya función puede modificar el usuario mediante la programación e interconexión de los elementos que los forman.

Más detalles

Simulín. Qué es Simulín? Características. Simulador de circuitos digitales para uso docente. v5.60 (Julio 2014) Función lógica (expresión algebraica)

Simulín. Qué es Simulín? Características. Simulador de circuitos digitales para uso docente. v5.60 (Julio 2014) Función lógica (expresión algebraica) Folleto de presentación Simulín Simulín Simulador de circuitos digitales para uso docente v5.60 (Julio 2014) Características Circuitos Combinacionales Puertas lógicas básicas (NOT, AND, OR, XOR, NAND,

Más detalles

Diseño de Sistemas Embebidos en FPGA Curso de Posgrado Facultad de Ciencias Exactas y Tecnología UNT.

Diseño de Sistemas Embebidos en FPGA Curso de Posgrado Facultad de Ciencias Exactas y Tecnología UNT. Tema 4 Parte 1: Tecnologías de configuración Parte 2: Lenguajes de descripción de Hardware#4 TECNOLOGÍA Tipo de elemento de configuración utilizado. La tecnología de los elementos de configuración influye

Más detalles

Universidad Autónoma de Baja California Facultad de Ingeniería Mexicali

Universidad Autónoma de Baja California Facultad de Ingeniería Mexicali Sumadores En este documento se describe el funcionamiento del circuito integrado 7483, el cual implementa un sumador binario de 4 bits. Adicionalmente, se muestra la manera de conectarlo con otros dispositivos

Más detalles

DATOS DE IDENTIFICACIÓN DEL CURSO DEPARTAMENTO:

DATOS DE IDENTIFICACIÓN DEL CURSO DEPARTAMENTO: DATOS DE IDENTIFICACIÓN DEL CURSO DEPARTAMENTO: ELECTRONICA ACADEMIA A LA QUE SISTEMAS DIGITALES AVANZADOS PERTENECE: NOMBRE DE LA MATERIA: DISEÑO DIGITAL ASISTIDO POR COMPUTADORA CLAVE DE LA MATERIA:

Más detalles

TEMA 3. EL PROCESO DE COMPILACIÓN, DEL CÓDIGO FUENTE AL CÓDIGO MÁQUINA

TEMA 3. EL PROCESO DE COMPILACIÓN, DEL CÓDIGO FUENTE AL CÓDIGO MÁQUINA TEMA 3. EL PROCESO DE COMPILACIÓN, DEL CÓDIGO FUENTE AL CÓDIGO MÁQUINA Programa: Algoritmo (secuencia no ambigua, finita y ordenada de instrucciones para la resolución de un determinado problema) traducido

Más detalles

Figura 1. Símbolo que representa una ALU. El sentido y la funcionalidad de las señales de la ALU de la Figura 1 es el siguiente:

Figura 1. Símbolo que representa una ALU. El sentido y la funcionalidad de las señales de la ALU de la Figura 1 es el siguiente: Departamento de Ingeniería de Sistemas Facultad de Ingeniería Universidad de Antioquia Arquitectura de Computadores y Laboratorio ISI355 (2011 2) Práctica No. 1 Diseño e implementación de una unidad aritmético

Más detalles

Encuesta sobre utilización de la microelectrónica en la Argentina

Encuesta sobre utilización de la microelectrónica en la Argentina Encuesta sobre utilización de la microelectrónica en la Argentina Los dispositivos microelectrónicos forman el corazón de todo sistema electrónico de nuestros días. En ellos los circuitos alcanzan las

Más detalles

Sistemas Electrónicos Digitales. Práctica 1 Multiplicador de 8 bits secuencial con desplazamiento hacia la derecha

Sistemas Electrónicos Digitales. Práctica 1 Multiplicador de 8 bits secuencial con desplazamiento hacia la derecha Sistemas Electrónicos igitales Práctica de 8 bits secuencial con desplazamiento hacia la derecha Javier Toledo Moreo pto. Electrónica, Tecnología de Computadoras y Proyectos Universidad Politécnica de

Más detalles

Curso Completo de Electrónica Digital

Curso Completo de Electrónica Digital CURSO Curso Completo de Electrónica Digital Departamento de Electronica y Comunicaciones Universidad Pontifica de Salamanca en Madrid Prof. Juan González Gómez Capítulo 4 CIRCUITOS COMBINACIONALES 4.1.

Más detalles

3.1 INGENIERIA DE SOFTWARE ORIENTADO A OBJETOS OOSE (IVAR JACOBSON)

3.1 INGENIERIA DE SOFTWARE ORIENTADO A OBJETOS OOSE (IVAR JACOBSON) 3.1 INGENIERIA DE SOFTWARE ORIENTADO A OBJETOS OOSE (IVAR JACOBSON) 3.1.1 Introducción Este método proporciona un soporte para el diseño creativo de productos de software, inclusive a escala industrial.

Más detalles

UNIVERSIDAD NACIONAL FEDERICO VILLARREAL FACULTAD DE INGENIERÍA ELECTRÓNICA E INFORMÁTICA SÍLABO

UNIVERSIDAD NACIONAL FEDERICO VILLARREAL FACULTAD DE INGENIERÍA ELECTRÓNICA E INFORMÁTICA SÍLABO SÍLABO ASIGNATURA: MICROELECTRÓNICA CÓDIGO: 8F0108 1. DATOS GENERALES 1.1. DEPARTAMENTO ACADÉMICO : Ing. Electrónica e Informática 1.2. ESCUELA PROFESIONAL : Ingeniería de Mecatrónica 1.3. CICLO DE ESTUDIOS

Más detalles

Tema 2 Conceptos básicos de programación. Fundamentos de Informática

Tema 2 Conceptos básicos de programación. Fundamentos de Informática Tema 2 Conceptos básicos de programación Fundamentos de Informática Índice Metodología de la programación Programación estructurada 2 Pasos a seguir para el desarrollo de un programa (fases): Análisis

Más detalles

3.1 Introducción a VHDL

3.1 Introducción a VHDL Capítulo 3 Implementación en VHDL A continuación se va a explicar brevemente el funcionamiento de VHDL y las componentes de programación para poder entender mejor el programa. Una vez explicado esto, se

Más detalles

Análisis de Sistemas. M.Sc. Lic. Aidee Vargas C. C. octubre 2007

Análisis de Sistemas. M.Sc. Lic. Aidee Vargas C. C. octubre 2007 Análisis de Sistemas M.Sc. Lic. Aidee Vargas C. C. octubre 2007 Metodologías de Desarrollo de Software Las metodologías existentes se dividen en dos grandes grupos: Metodologías estructuradas Metodologías

Más detalles

Procesadores de lenguaje Tema 1: Introducción a los compiladores

Procesadores de lenguaje Tema 1: Introducción a los compiladores Procesadores de lenguaje Tema 1 Introducción a los compiladores Salvador Sánchez, Daniel Rodríguez Departamento de Ciencias de la Computación Universidad de Alcalá Resumen del tema Traductores Estructura

Más detalles

Tópicos Avanzados de Análisis y Diseño INGENIERIA DE SOFTWARE ING. MA. MARGARITA LABASTIDA ROLDÁN

Tópicos Avanzados de Análisis y Diseño INGENIERIA DE SOFTWARE ING. MA. MARGARITA LABASTIDA ROLDÁN Tópicos Avanzados de Análisis y Diseño INGENIERIA DE SOFTWARE ING. MA. MARGARITA LABASTIDA ROLDÁN Proceso de Negocio (Business Process) Conjunto estructurado, medible de actividades para producir un producto.

Más detalles

Servidores Donantonio

Servidores Donantonio Especificación de requisitos software Tabla de contenidos Juan José Amor David Escorial Ismael Olea 1. Introducción...3 1.1. Propósito...3 1.2. Ámbito del sistema...3 1.3. Definiciones, acrónimos y abreviaturas...3

Más detalles

PROCESOS SOFTWARE. Según esta estrategia, todo proceso debe planificarse, implantarse y evaluarse, para luego actuar sobre él.

PROCESOS SOFTWARE. Según esta estrategia, todo proceso debe planificarse, implantarse y evaluarse, para luego actuar sobre él. PROCESOS SOFTWARE MOTIVACIÓN? Con independencia de la metodología o modelo implementado, es común la estrategia para la mejora continua de la calidad, basada en el Círculo de Deming o Plan, Do, Check,

Más detalles

PLATAFORMA DE DISEÑO QUARTUS

PLATAFORMA DE DISEÑO QUARTUS PLATAFORMA DE DISEÑO QUARTUS 199 Pasos para trabajar en la tarjeta intel DE2i-150 FPGA: Lo primero que se debe hacer es prender la tarjeta y esperar a que se cargue el sistema operativo UBUNTU. Ver la

Más detalles

PROGRAMA DE LA ASIGNATURA: LABORATORIO DE ELECTRÓNICA DIGITAL

PROGRAMA DE LA ASIGNATURA: LABORATORIO DE ELECTRÓNICA DIGITAL HOJA 1 DE 6 PROGRAMA DE LA ASIGNATURA: LABORATORIO DE ELECTRÓNICA DIGITAL CENTRO: TITULACIÓN: ESPECIALIDADES: CURSO: TIPO DE ASIGNATURA: CRÉDITOS: E.T.S. DE INGENIEROS DE TELECOMUNICACIÓN INGENIERO DE

Más detalles

Diseño del Sistema de Información

Diseño del Sistema de Información Diseño del Sistema de Información ÍNDICE DESCRIPCIÓN Y OBJETIVOS...2 ACTIVIDAD DSI 1: DEFINICIÓN DE LA ARQUITECTURA DEL SISTEMA...7 Tarea DSI 1.1: Definición de Niveles de Arquitectura...9 Tarea DSI 1.2:

Más detalles

La Computadora. Operaciones de entrada al ser receptora de información. Operaciones de cálculo, lógica y almacenamiento.

La Computadora. Operaciones de entrada al ser receptora de información. Operaciones de cálculo, lógica y almacenamiento. La Computadora Para entender mejor el concepto de Computadora tenemos que saber qué es la computación. La computación como tal, se entinte como el arte de contar o calcular, tal como lo afirma el Diccionario

Más detalles

Metodología centrada en la Experiencia del Usuario

Metodología centrada en la Experiencia del Usuario Metodología centrada en la Experiencia del Usuario Esta metodología fue creada por Jesse James Garrett, se describe a detalle en su libro The Elements of User Experience, consiste en asegurarse que ningún

Más detalles

DISPOSITIVOS DE LÓGICA PROGRAMABLES EN CAMPO (FPGA)

DISPOSITIVOS DE LÓGICA PROGRAMABLES EN CAMPO (FPGA) DISPOSITIVOS DE LÓGICA PROGRAMABLES EN CAMPO (FPGA) DIGITAL II ECA Departamento de Sistemas e Informática Escuela de Ingeniería Electrónica Rosa Corti 1 Preguntas a responder sobre FPGA Qué innovación

Más detalles

Introducción a las redes de computadores

Introducción a las redes de computadores Introducción a las redes de computadores Contenido Descripción general 1 Beneficios de las redes 2 Papel de los equipos en una red 3 Tipos de redes 5 Sistemas operativos de red 7 Introducción a las redes

Más detalles

Diseño del Sistema de Información

Diseño del Sistema de Información Diseño del Sistema de Información ÍNDICE DESCRIPCIÓN Y OBJETIVOS... 2 ACTIVIDAD DSI 1: DEFINICIÓN DE LA ARQUITECTURA DEL SISTEMA... 7 Tarea DSI 1.1: Definición de Niveles de Arquitectura... 9 Tarea DSI

Más detalles

Diseño de páginas web 2011

Diseño de páginas web 2011 Diseño de páginas web 2011 Al finalizar el curso, el alumno será capaz de planificar y diseñar correctamente desde sencillas páginas web hasta completos sitios web. Para ello, se le proporciona primero

Más detalles

Tema 11: Sistemas combinacionales

Tema 11: Sistemas combinacionales Tema 11: Sistemas combinacionales Objetivo: Introducción Generador Comprobador de paridad Comparadores Semisumador (HA) Sumador Completo (FA) Expansión de sumadores Sumador paralelo con arrastre serie

Más detalles

Técnicas de Programación Hardware: CAD para FPGAs y CPLDs. Clase 1: Lógica Configurable

Técnicas de Programación Hardware: CAD para FPGAs y CPLDs. Clase 1: Lógica Configurable Técnicas de Programación Hardware: CAD para FPGAs y CPLDs Clase 1: Lógica Configurable Por: Nelson Acosta & Daniel Simonelli UNICEN - Tandil - 1999 1 Organización del curso Clases teórico/prácticas: 1

Más detalles

320098 - ED - Electrónica Digital

320098 - ED - Electrónica Digital Unidad responsable: 320 - EET - Escuela de Ingeniería de Terrassa Unidad que imparte: 710 - EEL - Departamento de Ingeniería Electrónica Curso: Titulación: 2015 GRADO EN INGENIERÍA DE SISTEMAS AUDIOVISUALES

Más detalles

DESCRIPCION DEL SITEMA MASTER.

DESCRIPCION DEL SITEMA MASTER. DESCRIPCION DEL SITEMA MASTER. ESTRUCTURA. El sistema MASTER (Sistema Modular para Control Adaptativo en Tiempo Real) se ha implementado en base a un computador compatible PC-AT, dotado de una tarjeta

Más detalles

SISTEMAS DE INFORMACIÓN I TEORÍA

SISTEMAS DE INFORMACIÓN I TEORÍA CONTENIDO: CICLO DE VIDA DE DESARROLLO DE SI FASES GENÉRICAS DEL CICLO DE VIDA DE DESARROLLO DE SI VISIÓN TRADICIONAL DEL CICLO DE VIDA DE DESARROLLO DE SI DE DESARROLLO DE SI: ANÁLISIS Material diseñado

Más detalles

Generación de funciones lógicas mediante decodificadores binarios con salidas activas a nivel alto

Generación de funciones lógicas mediante decodificadores binarios con salidas activas a nivel alto Generación de funciones lógicas mediante decodificadores binarios con salidas activas a nivel alto Apellidos, nombre Martí Campoy, Antonio (amarti@disca.upv.es) Departamento Centro Informática de Sistemas

Más detalles

CICLO DE VIDA DEL SOFTWARE

CICLO DE VIDA DEL SOFTWARE CICLO DE VIDA DEL SOFTWARE 1. Concepto de Ciclo de Vida 2. Procesos del Ciclo de Vida del Software 3. Modelo en cascada 4. Modelo incremental 5. Modelo en espiral 6. Prototipado 7. La reutilización en

Más detalles

1. Descripción y objetivos

1. Descripción y objetivos Pruebas 1 1. Descripción y objetivos Las pruebas son prácticas a realizar en diversos momentos de la vida del sistema de información para verificar: El correcto funcionamiento de los componentes del sistema.

Más detalles

ESCUELA NORMAL PROFESOR CARLOS A. CARRILLO

ESCUELA NORMAL PROFESOR CARLOS A. CARRILLO ESCUELA NORMAL PROFESOR CARLOS A. CARRILLO Primer Semestre Licenciatura en Educación Primaria Profesor: Cruz Jorge Fernández Alumna: Sandra Carina Villalobos Olivas Unidad II ACTIVIDAD 3 Software Se conoce

Más detalles

Plan docente. Laboratorio de Diseño Digital (16311/17186)

Plan docente. Laboratorio de Diseño Digital (16311/17186) Plan docente Asignatura: Laboratorio de Diseño Digital (16311/17186) Profesores: Andoni Arruti Illarramendi Carlos Amuchástegui Uriarte Izaskun Etxeberria Uztarroz Amaya Ibarra Lasa 1 INDICE 1/ DATOS MATERIA.

Más detalles

Sistemas de Computadoras Índice

Sistemas de Computadoras Índice Sistemas de Computadoras Índice Concepto de Computadora Estructura de la Computadora Funcionamiento de la Computadora Historia de las Computadoras Montando una Computadora Computadora Un sistema de cómputo

Más detalles

Informe final Proyecto de Innovación Docente ID11/129. Uso de FPGAs y medios audiovisuales en la docencia de la Electrónica Digital

Informe final Proyecto de Innovación Docente ID11/129. Uso de FPGAs y medios audiovisuales en la docencia de la Electrónica Digital Informe final Proyecto de Innovación Docente ID11/129 Uso de FPGAs y medios audiovisuales en la docencia de la Electrónica Digital Participantes: Beatriz García Vasallo Raúl Rengel Estévez Miguel Ángel

Más detalles

Diseño de un sistema de adquisición de datos de un micrófono utilizando una FPGA

Diseño de un sistema de adquisición de datos de un micrófono utilizando una FPGA Diseño de un sistema de adquisición de datos de un micrófono utilizando una FPGA Experimental III: Introducción a la Microfabricación y FPGA - Instituto Balseiro Mauricio Tosi Diciembre de 2013 Resumen

Más detalles

CONTADORES. Definición. Diseño y analisis de un contador binario hacia arriba de 3 bits con flip-flops JK. Otros contadores típicos.

CONTADORES. Definición. Diseño y analisis de un contador binario hacia arriba de 3 bits con flip-flops JK. Otros contadores típicos. CONTADORES Definición Diseño y analisis de un contador binario hacia arriba de 3 bits con flip-flops JK. Otros contadores típicos Descripción VHDL Ejercicios Definición Un contador es un circuito digital

Más detalles

CAPITULO III MARCO METODOLÓGICO. La presente investigación plantea como objetivo el diseño de un prototipo

CAPITULO III MARCO METODOLÓGICO. La presente investigación plantea como objetivo el diseño de un prototipo CAPITULO III MARCO METODOLÓGICO 1. Tipo de Investigación La presente investigación plantea como objetivo el diseño de un prototipo de robot industrial para la automatización del proceso de conformado de

Más detalles

Dispositivos Lógicos Programables (FPGAs) Guillermo Güichal Emtech www.emtech.com.ar

Dispositivos Lógicos Programables (FPGAs) Guillermo Güichal Emtech www.emtech.com.ar Dispositivos Lógicos Programables (FPGAs) Guillermo Güichal Emtech www.emtech.com.ar Temario Introducción Circuitos Digitales FPGAs Flujo y Herramientas de Diseño Diseño para Síntesis Simulación Ejemplos

Más detalles

GUIAS ÚNICAS DE LABORATORIO CIRCUITOS CONTADORES AUTOR: ALBERTO CUERVO SANTIAGO DE CALI UNIVERSIDAD SANTIAGO DE CALI DEPARTAMENTO DE LABORATORIOS

GUIAS ÚNICAS DE LABORATORIO CIRCUITOS CONTADORES AUTOR: ALBERTO CUERVO SANTIAGO DE CALI UNIVERSIDAD SANTIAGO DE CALI DEPARTAMENTO DE LABORATORIOS GUIS ÚNIS DE LORTORIO IRUITOS ONTDORES UTOR: LERTO UERVO SNTIGO DE LI UNIVERSIDD SNTIGO DE LI DEPRTMENTO DE LORTORIOS IRUITOS ONTDORES Introducción El contador es un circuito específico de circuito secuencial,

Más detalles

El tamaño, estructura, número de bloques y la cantidad y conectividad de las conexiones varian en las distintas arquitecturas.

El tamaño, estructura, número de bloques y la cantidad y conectividad de las conexiones varian en las distintas arquitecturas. Que es una FPGA? Las FPGA s (Field Programmable Gate Array) Son dispositivos lógicos de propósito general programable por los usuarios, compuesto de bloques lógicos comunicados por conexiones programables.

Más detalles

Introducción a FPGAs. Contenido

Introducción a FPGAs. Contenido Introducción a FPGAs Dra. Claudia Feregrino cferegrino@inaoep.mx Contenido 1. FPGA 2. Arquitectura genérica 3. Celda lógica 4. Field Programmable 5. Cómo se programa un FPGA 6. Herramientas de diseño 7.

Más detalles

M.T.I. Arturo López Saldiña

M.T.I. Arturo López Saldiña M.T.I. Arturo López Saldiña Hoy en día, existen diversas aproximaciones al tema de cómo hacer que las personas trabajen dentro de una organización de manera colaborativa. El problema se vuelve más difícil

Más detalles

Centro Nacional de Referencia de Aplicación de las TIC basadas en fuentes abiertas. Un ejemplo práctico: Plataforma de Archivo electrónico

Centro Nacional de Referencia de Aplicación de las TIC basadas en fuentes abiertas. Un ejemplo práctico: Plataforma de Archivo electrónico Centro Nacional de Referencia de Aplicación de las TIC basadas en fuentes abiertas Un ejemplo práctico: Plataforma de Archivo electrónico Índice 1. Presentación del proyecto 2. Objetivos del proyecto 3.

Más detalles

GAL22V10. 12 entradas dedicadas. 10 pines E/S. Disponible encapsulado DIP (24 pines), PLCC (28 pines) o baja tensión (GAL22LV10).

GAL22V10. 12 entradas dedicadas. 10 pines E/S. Disponible encapsulado DIP (24 pines), PLCC (28 pines) o baja tensión (GAL22LV10). GAL22V10 12 entradas dedicadas 10 pines E/S Disponible encapsulado DIP (24 pines), PLCC (28 pines) o baja tensión (GAL22LV10). 10 OLMCs disponibles. OLMCs programables como E o S combinacional o como S

Más detalles

Compiladores y Lenguajes de Programación. Maria de Guadalupe Cota Ortiz

Compiladores y Lenguajes de Programación. Maria de Guadalupe Cota Ortiz Compiladores y Lenguajes de Programación Maria de Guadalupe Cota Ortiz Organizaciones que rigen las normas para estandarización de Lenguajes de Programación IEEE (Instituto de Ingenieros Eléctricos y Electrónicos)

Más detalles

ÍNDICE DISEÑO DE CONTADORES SÍNCRONOS JESÚS PIZARRO PELÁEZ

ÍNDICE DISEÑO DE CONTADORES SÍNCRONOS JESÚS PIZARRO PELÁEZ ELECTRÓNICA DIGITAL DISEÑO DE CONTADORES SÍNCRONOS JESÚS PIZARRO PELÁEZ IES TRINIDAD ARROYO DPTO. DE ELECTRÓNICA ÍNDICE ÍNDICE... 1 1. LIMITACIONES DE LOS CONTADORES ASÍNCRONOS... 2 2. CONTADORES SÍNCRONOS...

Más detalles

Índice. 1. Seguridad de los sistemas TIC. 2. Problemas en la seguridad perimetral: Administración de cortafuegos

Índice. 1. Seguridad de los sistemas TIC. 2. Problemas en la seguridad perimetral: Administración de cortafuegos Índice 1. Seguridad de los sistemas TIC 2. Problemas en la seguridad perimetral: Administración de cortafuegos 3. Gestión actual del flujo de modificación de reglas 4. FWAT 5. Funcionamiento y gestión

Más detalles

GRADO EN INGENIERÍA ELECTRÓNICA Y AUTOMÁTICA INDUSTRIAL

GRADO EN INGENIERÍA ELECTRÓNICA Y AUTOMÁTICA INDUSTRIAL Sistemas Electrónicos Digitales Avanzados GRADO EN INGENIERÍA ELECTRÓNICA Y AUTOMÁTICA INDUSTRIAL PRÁCTICA FINAL GENERADOR AVANZADO DE FORMAS DE ONDA Dpto. Electrónica Curso 2013/2014 1. Introducción La

Más detalles

INSTITUTO TECNOLÓGICO DE SALINA CRUZ

INSTITUTO TECNOLÓGICO DE SALINA CRUZ INSTITUTO TECNOLÓGICO DE SALINA CRUZ UNIDAD 6: Planificación y cableado de redes, configuración y verificación de la red ACTIVIDAD: Trabajo de investigación sobre cableado estructurado DOCENTE: Mc. Román

Más detalles

Interacción Persona - Ordenador

Interacción Persona - Ordenador Interacción Persona - Ordenador Diseño de la interfaz en la Ingeniería del Software Dr. Pedro Latorre Dra. Sandra Baldassarri Dra. Eva Cerezo Ingeniería del Software Ingeniería del Software: Definición

Más detalles

FORMAS DE ORGANIZAR LA INFORMACIÓN. Esquema circular (algorítmico)

FORMAS DE ORGANIZAR LA INFORMACIÓN. Esquema circular (algorítmico) FORMAS DE ORGANIZAR LA INFORMACIÓN Esquema circular (algorítmico) Tiene como objetivo distinguir claramente lo importante de lo secundario, puede elaborarse luego de un subrayado de dichos elementos. En

Más detalles

UNIDADES FUNCIONALES DEL ORDENADOR TEMA 3

UNIDADES FUNCIONALES DEL ORDENADOR TEMA 3 UNIDADES FUNCIONALES DEL ORDENADOR TEMA 3 INTRODUCCIÓN El elemento hardware de un sistema básico de proceso de datos se puede estructurar en tres partes claramente diferenciadas en cuanto a sus funciones:

Más detalles

LINEAMIENTOS ESTÁNDARES APLICATIVOS DE VIRTUALIZACIÓN

LINEAMIENTOS ESTÁNDARES APLICATIVOS DE VIRTUALIZACIÓN LINEAMIENTOS ESTÁNDARES APLICATIVOS DE VIRTUALIZACIÓN Tabla de Contenidos LINEAMIENTOS ESTÁNDARES APLICATIVOS DE VIRTUALIZACIÓN... 1 Tabla de Contenidos... 1 General... 2 Uso de los Lineamientos Estándares...

Más detalles

Eclipse Process Framework Composer EPFC, es un editor de procesos gratuito que sirve para editar fragmentos de método, procesos o metodologías y

Eclipse Process Framework Composer EPFC, es un editor de procesos gratuito que sirve para editar fragmentos de método, procesos o metodologías y Eclipse Process Framework Composer EPFC, es un editor de procesos gratuito que sirve para editar fragmentos de método, procesos o metodologías y generar automáticamente la documentación en formato para

Más detalles

Software de Simulación aplicado a entornos de e-learning

Software de Simulación aplicado a entornos de e-learning Software de Simulación aplicado a entornos de e-learning 2009 Laboratorio de Investigación de Software Universidad Tecnológica Nacional Facultad Regional Córdoba Titulo del Proyecto Software de Simulación

Más detalles

UN ENTORNO A MEDIDA PARA EL DISEÑO Y LA SIMULACIÓN DE MAQUINARIA POR COMPUTADOR

UN ENTORNO A MEDIDA PARA EL DISEÑO Y LA SIMULACIÓN DE MAQUINARIA POR COMPUTADOR UN ENTORNO A MEDIDA PARA EL DISEÑO Y LA SIMULACIÓN DE MAQUINARIA POR COMPUTADOR Manuel González y Javier Cuadrado Departamento de Ingeniería Industrial II, Campus de Esteiro, 15403 Ferrol Universidad de

Más detalles

Práctica 4 Diseño de circuitos con puertas lógicas.

Práctica 4 Diseño de circuitos con puertas lógicas. Práctica 4 Diseño de circuitos con puertas lógicas. Descripción de la práctica: -Esta práctica servirá para afianzar los conocimientos adquiridos hasta ahora de simplificación, e implementación de funciones,

Más detalles

RAID. Redundant Array of Independent Disks. Rafael Jurado Moreno (rafa.eqtt@gmail.com) Fuente: Wikipedia

RAID. Redundant Array of Independent Disks. Rafael Jurado Moreno (rafa.eqtt@gmail.com) Fuente: Wikipedia RAID Redundant Array of Independent Disks Rafael Jurado Moreno (rafa.eqtt@gmail.com) Fuente: Wikipedia I.E.S. María Moliner. Segovia 2010 1.Introducción. En informática, el acrónimo RAID (del inglés Redundant

Más detalles

capitulo3 MARCO TEÓRICO Para el diseño de la reubicación de los procesos se hará uso de la Planeación

capitulo3 MARCO TEÓRICO Para el diseño de la reubicación de los procesos se hará uso de la Planeación capitulo3 MARCO TEÓRICO Para el diseño de la reubicación de los procesos se hará uso de la Planeación Sistemática de Layout, SLP por sus siglas en inglés. Se hará uso de la simulación para comparar el

Más detalles

CAPITULO 5. DISPOSITIVOS DE LOGICA RECONFIGURABLE

CAPITULO 5. DISPOSITIVOS DE LOGICA RECONFIGURABLE CAPITULO 5. DISPOSITIVOS DE LOGICA RECONFIGURABLE Los FPGA fueron introducidos por Xilinx en la mitad de 1980. Ellos difieren de los CPLDs en la arquitectura, por su tecnología de almacenamiento, número

Más detalles

GLOSARIO. Arquitectura: Funcionamiento, estructura y diseño de una plataforma de desarrollo.

GLOSARIO. Arquitectura: Funcionamiento, estructura y diseño de una plataforma de desarrollo. GLOSARIO Actor: Un actor es un usuario del sistema. Esto incluye usuarios humanos y otros sistemas computacionales. Un actor usa un Caso de Uso para ejecutar una porción de trabajo de valor para el negocio.

Más detalles

Palabras Clave: Vídeo en FPGA, Procesamiento en Tiempo Real RESUMEN

Palabras Clave: Vídeo en FPGA, Procesamiento en Tiempo Real RESUMEN Procesamiento de Vídeo en Tiempo Real Utilizando FPGA J. G. Velásquez-Aguilar, A. Zamudio-Lara Centro de Investigación en Ingeniería y Ciencias Aplicadas, Universidad Autónoma del Estado de Morelos, Cuernavaca,

Más detalles

MAXHC11. TARJETA DE BAJO COSTE PARA EL DISEÑO MIXTO HARDWARE-SOFTWARE

MAXHC11. TARJETA DE BAJO COSTE PARA EL DISEÑO MIXTO HARDWARE-SOFTWARE MAXHC11. TARJETA DE BAJO COSTE PARA EL DISEÑO MIXTO HARDWARE-SOFTWARE Sadot Alexandres F.¹, José D. Muñoz F.², Pedro Pérez de A.³ Departamento de Electrónica y Automática. Escuela Técnica Superior de Ingeniería

Más detalles

Gestión Dispositivos Móviles Dexon Software

Gestión Dispositivos Móviles Dexon Software Gestión Dispositivos Móviles Dexon Software INTRODUCCIÓN La gestión de dispositivos móviles es una de las principales actividades que se llevan a cabo en los departamentos de TI de cualquier compañía;

Más detalles

El grupo de trabajo IEEE 802.15 ha definido tres clases de WPANs que se

El grupo de trabajo IEEE 802.15 ha definido tres clases de WPANs que se 2 Disposiciones generales. 2.1 Tipos de WPANs. El grupo de trabajo IEEE 802.15 ha definido tres clases de WPANs que se diferencian por su rango de datos, consumo de energía y calidad de servicio (QoS).

Más detalles

Análisis de un sistema Dual-Tone Multi-Frequency (DTMF)

Análisis de un sistema Dual-Tone Multi-Frequency (DTMF) Análisis de un sistema Dual-Tone Multi-Frequency (DTMF) Ignacio Acero, Andrés Arévalo, Santiago López Ingeniería Electrónica, Universidad Sergio Arboleda ignacio.acero@usa.edu.co andres.arevalo@correo.usa.edu.co

Más detalles

Sistemas de Información Administrativo - Universidad Diego Portales. Cátedra : Sistemas de Información Administrativa S.I.A.

Sistemas de Información Administrativo - Universidad Diego Portales. Cátedra : Sistemas de Información Administrativa S.I.A. Cátedra : Sistemas de Información Administrativa S.I.A. Escuela de Contadores Auditores Tema: Ingeniería del Software Estrategias de Pruebas Relator: Sr. Eduardo Leyton G Pruebas del Software (Basado en

Más detalles

Generación de código para Hibernate desde modelos UML

Generación de código para Hibernate desde modelos UML Generación de código para Hibernate desde modelos UML Alejandro Nogueiro Mariscal Ingeniería Técnica en Informática de Sistemas, Universidad de Cádiz 24 de Septiembre 2012 1 / 35 Índice 1 Motivación y

Más detalles

MARCO METODOLÓGICO CAPITULO III

MARCO METODOLÓGICO CAPITULO III MARCO METODOLÓGICO CAPITULO III CAPITULO III MARCO METODOLÓGICO En esta sección se presenta el tipo de investigación, las técnicas de recolección de datos y finalmente la metodología utilizada para el

Más detalles

2 EL DOCUMENTO DE ESPECIFICACIONES

2 EL DOCUMENTO DE ESPECIFICACIONES Ingeniería Informática Tecnología de la Programación TEMA 1 Documentación de programas. 1 LA DOCUMENTACIÓN DE PROGRAMAS En la ejecución de un proyecto informático o un programa software se deben de seguir

Más detalles

SUPLEMENTO EUROPASS AL TÍTULO

SUPLEMENTO EUROPASS AL TÍTULO SUPLEMENTO EUROPASS AL TÍTULO DENOMINACIÓN DEL TÍTULO Técnico Superior en Desarrollo de Aplicaciones Web --------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------

Más detalles

INTRODUCCION A LOS SISTEMAS R.F.I.D.

INTRODUCCION A LOS SISTEMAS R.F.I.D. INTRODUCCION A LOS SISTEMAS RFID INTRODUCCION A LOS SISTEMAS R.F.I.D. Servicios Informáticos KIFER, S.L. Antxota Kalea, Nº. 1, Of. 2B. 20160 LASARTE - ORIA (GIPUZKOA) 1/8 www.kifer.es - kifer@kifer.es

Más detalles

Capitulo 3. Desarrollo del Software

Capitulo 3. Desarrollo del Software Capitulo 3 Desarrollo del Software 3.1 Análisis del sistema 3.1.1 Organización de la autopista virtual Para el presente proyecto se requiere de simular una autopista para que sirva de prueba. Dicha autopista

Más detalles

CUALIFICACIÓN PROGRAMACIÓN DE SISTEMAS INFORMÁTICOS PROFESIONAL. Nivel 3. Versión 5 Situación RD 1201/2007 Actualización

CUALIFICACIÓN PROGRAMACIÓN DE SISTEMAS INFORMÁTICOS PROFESIONAL. Nivel 3. Versión 5 Situación RD 1201/2007 Actualización Página 1 de 17 CUALIFICACIÓN PROGRAMACIÓN DE SISTEMAS INFORMÁTICOS PROFESIONAL Familia Profesional Informática y Comunicaciones Nivel 3 Código IFC303_3 Versión 5 Situación RD 1201/2007 Actualización Competencia

Más detalles

Qué es SPIRO? Características

Qué es SPIRO? Características Qué es SPIRO? Características Tecnología de SPIRO Módulos principales Otros módulos de Spiro Qué es Spiro? Software para la planificación y gestión integral Qué es un Sistema Integrado de Gestión? Se podría

Más detalles

(Diseño Hardware/Software a nivel de Sistema)

(Diseño Hardware/Software a nivel de Sistema) (Diseño Hardware/Software a nivel de Sistema) Guía de Aprendizaje Información al estudiante 1. Datos Descriptivos Titulación Grado en Ingeniería Informática Módulo Materia Asignatura Carácter Diseño Hardware/Software

Más detalles

Ejercicios de Programación Orientada a Objetos

Ejercicios de Programación Orientada a Objetos Programación II. I.T.I. de Gestión Ejercicios de Programación Orientada a Objetos Curso 2009/10 Ejercicio 1 Completar la clase PUNTO implementando las operaciones que faltan y utilizar esa clase en una

Más detalles

Unidad 9. Entornos de Desarrollo

Unidad 9. Entornos de Desarrollo Unidad 9 Entornos de Desarrollo Contenido Introducción Entornos de Desarrollo Propiedades de un Entorno Introducción En muchas ocasiones se usan los términos de Entornos de Programación y Entorno de Desarrollo

Más detalles

k 11 N. de publicación: ES 2 047 221 k 51 Int. Cl. 5 : G01R 21/133

k 11 N. de publicación: ES 2 047 221 k 51 Int. Cl. 5 : G01R 21/133 k 19 OFICINA ESPAÑOLA DE PATENTES Y MARCAS ESPAÑA k 11 N. de publicación: ES 2 047 221 k 1 Int. Cl. : G01R 21/133 G11C 7/00 12 k TRADUCCION DE PATENTE EUROPEA T3 86 knúmero de solicitud europea: 90116348.

Más detalles