Diseño de Sistemas con FPGA. Patricia Borensztejn Primer Cuatrimestre 2015

Tamaño: px
Comenzar la demostración a partir de la página:

Download "Diseño de Sistemas con FPGA. Patricia Borensztejn Primer Cuatrimestre 2015"

Transcripción

1 Diseño de Sistemas con FPGA Patricia Borensztejn Primer Cuatrimestre 2015

2 Objetivo del curso Adquirir conocimientos elementales de la arquitectura de las FPGA actuales Aprender a utilizar las herramientas de programación y realizar proyectos sencillos que anden Proyecto Combinacional Proyecto Secuencial Proyecto E/S Proyecto PicoBlaze Proyecto Integrador

3 Temario y Planificación Introducción: FPGA (1) Modelado de Sistemas Combinacionales. Verilog. Entorno de desarrollo de xilinx. (4) Modelado de Sistemas Secuenciales. (5) Entrada / Salida: monitor, teclado, audio, línea serie (4) Co-Diseño software hardware con Picoblaze (4) Proyectos (10) Total= 28 clases mas 1 entrega

4 Calendario Primero 2015 Marzo M V Abril Mayo Junio M V julio

5 Bibliografía del curso (la que yo uso ) FPGA Prototyping by Verilog Examples. Pong P. Chu. Wiley InterScience. (Verilog e ISE) FPGA-Based System Design. Wayne Wolf (tecnología) The Design Warrior s Guide for FPGAs. Clive Maxfield (arquitecturas) Digital Arithmetic. Ercegovac, y Thomas Lang (algoritmos aritméticos) Synthesis of Arithmetic Circuits. Deschamps, Gioul, and Sutter. (algoritmos y codificación en VHDL para FPGA) Writing Testbenches: Functional Verification of HDL Models. Janick Bergeron. Kluwer Academic Publishers. Hojas de Datos. (de FPGA s ).. Y todo lo que ustedes encuentren en la web (que es mucho)

6 Hardware y Software La cátedra cuenta con las siguientes placas de desarrollo: Spartan-3E Starter Kit donada por XUP (Xilinx University Program) (3) Spartan-3 Starter Board (Digilent) donada por XUP (1) Xilinx Spartan-3A EVALUATION KIT (Avnet) (propio) Virtex-II Pro Development System (donada por Xilinx University Program) (esta discontinuada) Virtex4 FX12 Evaluation Board (Avnet) con Audio/Visual Card (Avnet) (Propiedad del Grupo de Investigación: Embebidos) Virtex-5 OpenSPARC Evaluation Platform (Donación de University Program OpenSparc) Nexys-2 comprada con subsidio UBACYT ( ) (1) Atlys comprada con subsidio UBACYT ( ) (1) Nexys-3 comprada con subsidio UBACYT ( ) (4) Nexys-4 comprada con subsidio UBACYT ( ) (1) Zybo comprada con subsidio UBACYT ( ) (1)

7 Hardware y Software El software que utilizamos es : ISE Webpack 14.7 (xilinx.com) para linux Pueden bajar ISE Webpack directamente de xilinx, pues es gratuita, ojo! Bajar el webpack, ya que la herramienta completa (ISE Design Suite) NO es gratuita. (y pesa mucho mas). Esto es para mi: (

8 Hardware y Software Xilinx esta migrando tanto el hardware como el software. En hardware esta migrando a lo que se llama ALL PROGRAMMABLE SoC que son procesadores (programables) mezclados con lógica (programable). Las FPGA a las que se esta migrando se llaman Zync. En software se está migrando a la nueva herramienta llamada VIVADO. Nosotros usamos ISE, version 14.7 pero xilinx ya dejó de mejorar ISE en octubre de Otra cosa que sucedió, creo que hace poco, es que en las versiones gratuitas (webpack) ahora podemos tener acceso al procesador (microblaze)

9 Cuestiones Administrativas Usaremos 28 clases, teórico-prácticas. Comenzamos hoy, 18 de marzo y terminamos el 10 de julio. No se puede faltar mas de seis veces, a riesgo de perder la materia

10 Cuestiones Administrativas La página de la materia es: El material de la página se irá subiendo de clase en clase, versiones anteriores en:

11 Empecemos.

12 Introducción Sistemas Embebidos Que son los FPGA? En que se diferencian de un microprocesador? Origen de los FPGA: PLD s ASIC s FPGA

13 Donde estamos? En el mundo de los sistemas embebidos

14 Sistemas Embebidos El mundo está lleno de ellos. Se pueden definir como todo sistema que NO es una PC de escritorio, ni un servidor, ni una workstation, ni una supercomputadora, ni un cluster distribuido, en fin, NO es un sistema programable de propósito general.

15 Sistema Embebido: Que significa? Small device, like a cell phone? Small processor installed in some other device, like a car? Software that controls a consumer device? Must have real-time response? My favorite: Any system where the user doesn t want to know that it includes a processor Disertación de un consultor de la industria de embebidos, Doug Locke, 2004 Real-Time Embedded Technology and Applications Symposium: Real-Time and Embedded Systems: Past, Present, and Future

16 Sistemas Embebidos: de donde venimos? El primer sistema embebido se considera: Sistema de Control del ICBM (Misil Balístico Inter Continental), desarrollado por la Fuerza Aérea de EEUU en Formado por transistores y puertas lógicas Controlaba la trayectoria y estabilidad del misil (No falla. Siempre la tecnología avanzando a paso redoblado) Otros consideran que fue AGD Apollo Guidance Computer, pero yo creo que AGD no era un sistema embebido sino una computadora programable. Durante la primer década, 1970, las aplicaciones de SE eran para defensa y militares.

17 Sistemas Embebidos El mercado de los productos embebidos es enormemente mas grande que el mercado de las PC s que lidera Intel, por ejemplo. Jim Turley, asesor de la industria de los embebidos, dice que aproximadamente el 0% de los microprocesadores que se fabrican, se usan en el mercado de las PC de escritorio. El 100% restante, se usan en el mercado de los embebidos. En los últimos años, se vendieron alrededor de 500 millones de microprocesadores para el mercado de las PC s y 10 mil millones para el mercado de los embebidos.

18 Embedded Processors by the numbers, Es este artículo de Jim Turley, asesor de la industria de los embebidos, escrito en el año 1999, el decía: About zero percent of the world's microprocessors are used in computers. Yup. Every PC, Macintosh, engineering workstation, Cray supercomputer, and all the other general-purpose computers put together account for less than 1% of all the microprocessors sold every year. If you round off the fractions, embedded systems consume 100% of the worldwide production of microprocessors.

19 Sistemas Embebidos Son sistemas de hardware y software, de propósito específico embebidos en algún producto del mercado. Por ejemplo: Embebidos de la industria automotriz : navegador GPS, de la aviación: control de aterrizaje automático Embebidos de la industria de las telecomunicaciones: routers, modems Embebidos de la industria de las comunicaciones: teléfonos celulares, palms, e-books Embebidos de la industria del hogar: control de heladeras, microondas, robots Etc, pero muchos etcéteras.

20 El mundo de los embebidos Aplicaciones específicas Optimizadas en consumo y área. Se trabaja en hardware y en software Se programa en C, ensamblador y/o HDL Costosísima la parte de verificación y testing!!!

21 El mundo de los embebidos Mucha variedad en las implementaciones Si un ingeniero necesita desarrollar un producto nuevo, puede elegir entre diversas alternativas: Procesadores de propósito general, adaptados para placas embebidas DSP (procesadores específicos para procesamiento de señales) Microcontroladores ASIC s FPGA s

22 El mundo de los embebidos es muy codiciado.

23 2010: Intel introduce una FPGA en el Atom Processor

24 Y que es el procesador Atom de Intel? Es el procesador que está ejecutando este código en mi portátil... Es el procesador mas pequeño de Intel, fabricado con tecnología de 45 nm (nm=mil millonésima parte del metro) y próximamente de 32nm. (actualmente, Intel ya fabrica con tecnología de 14nm) Pensados para una amplia gama de dispositivos: netbooks, PC básicas, tablets, laptops, smartphones, dispositivos electrónicos de consumo y otros dispositivos complementarios. Características del procesador: Arquitectura de ahorro de consumo de energía, optimizada para su uso en los productos embebidos

25 Y que es una FPGA? Es lógica (programable) organizada de alguna manera dentro de un chip. Se usa para: En los 80, cuando recién arrancaban, para implementar lógica para unir (glue logic), lógica para interfacear componentes mas grandes (porque sus antepasados son los PLD s) En los 90, telecomunicaciones y redes 2000 todo tipo de aplicaciones del mercado de los embebidos 2010 para hacer llegar fibra óptica a cada hogar? 2020 todo tipo de aplicaciones, hoy no muy imaginables alguna idea?

26 Recordemos que... Intel es líder en el mercado de procesadores para la industria de las PC básicas. pero no en el mercado de los embebidos (donde reina ARM, especialmente en el mercado de los dispositivos móviles) Hoy, el mercado de los productos embebidos alcanza los 10 billones (miles de millones) de unidades vendidas incluyendo microcontroladores, procesadores, DSP, FPGA, ASICs.

27 Porque reina ARM en el mercado de los dispositivos móviles? El secreto de su éxito: ARM no fabrica micros, solo los diseña y luego los licencia a fabricantes de electrónica que los integran en sus chips La clave es que los microchips que finalmente salen al mercado son algo mas que microprocesadores; por ejemplo, un fabricante de teléfonos móviles fabricará procesadores que además del núcleo ARM contendrán el transmisor 3G y el controlador de pantalla y teclado; de esta forma reducimos el número de chips necesarios para montar el teléfono, con la consiguiente reducción de coste, tamaño y consumo. Procesador para router ADSL basado en ARM

28 Idea de Intel para entrar en el mercado de las cosas pequeñas Intel no licencia sus procesadores, por lo tanto si queremos hacer un teléfono móvil basado en Intel, tendríamos que montar un conjunto extra de chips y eso trae problemas y deja a Intel fuera del mercado de las cosas pequeñas Solución de Intel para entrar en ese mercado: hacer configurables a sus procesadores poner una FPGA. La FPGA es un chip que puede convertirse en una tarjeta gráfica, un sintonizador wifi, un controlador de puertos, Dicho con otras palabras, una FPGA proporciona a los fabricantes una capacidad para personalizar el chip similar a la que proporciona ARM.

29 Intel sigue apostando a los 25/02/2013 FPGA Altera to Build Next-Generation, High-Performance FPGAs on Intel's 14 nm Tri-Gate Technology Intel s Hybrid CPU-FPGA by Tom R. Halfhill, The Linley Group e=2143 Intel reveals its FrankenChip ARM killer: one FPGA and one Xeon IN ONE SOCKET om_chip/

30 El mercado de los embebidos Es un muy buen mercado. no les parece? A Intel le pareció una muy buena idea irrumpir en ese mercado adosando al costado de su micro procesador un producto, la FPGA, claramente usable en el mercado de los embebidos para hacer aplicaciones ad-hoc. Y que tal si nosotros también nos introducimos en el mundo de los embebidos?

31 Que son los FPGA? Field Programmable Gate Array Arreglos de Puertas Programables en el Campo : (nunca mas lo traduzco) Circuitos Integrados que contienen bloques configurables de lógica junto con conexiones configurables entre esos bloques. Para que se configuran? Para realizar distintas tareas. Algunas FPGA permiten ser programados una sola vez(otp one time programmable), o bien una y otra y otra vez Donde se programan?los FPGA se programan in the field, o sea, no los programa el fabricante, sino que lo puede programar el desarrollador en su campo, o sea, en su laboratorio. Si un dispositivo puede ser programado mientras está residente, o embebido en un sistema mayor, se dice que es ISP (In System Programmable) Porqué Gate Array? Es el nombre de un tipo de tecnología de fabricación de los ASIC (Application Specific Integrated Circuit) FPGA ISP ASIC OTP Programmable interconnect Programmable logic blocks

32 Ross Freeman (1985) Patente US : Configurable electrical circuit having configurable logic elements and configurable interconnects

33 Ross Freeman La foto que este cuatrimestre elegí para ilustrar nuestra página es la de Ross Freeman, inventor de las FPGA y co fundador de Xilinx, junto con Bernard Vonderschmitt. Esto sucedió en el año Freeman era un ingeniero y trabajaba en circuitos integrados en Zilog, ademas era vice presidente de esa companía y gerente general. Todo en uno. Zilog, que era subsidiaria de Exxon Corporation habia sido fundada, nada mas y nada menos que por Federico Fagin (FF) en 1974 conocido por haber dejado su firma en el primer microprocesador de Intel, el El producto mas conocido de Zilog fue el Z80 que FF logró que sea incorporado a las videoconsolas como el Sega, Game Boy, etc. Pero eso es otra historia. Mientras trabajaba en Zilog, Freeman pergenia la idea de diseñar un chip de computadora que funcionara como una cinta en blanco, permitiendo al usuario programar ese chip en vez de tener que comprarlo ya hecho. Había que convencer a Exxon de que les dejaran fabricar ese chip en blanco. Y Freeman no lo logró. Asi que, simplemente, dejó todo y se fué. Patentó su linda idea y fundó Xilinx.

34 Origen Dispositivos Lógicos Programables PLD PLA ROM PAL Variantes todas de array programables de puertas AND y OR

35 PLDs SPLDs CPLDs PROMs PLAs PALs GALs etc.

36 PROMs (1970) Address 0 Address 1 Address 2 Address 3 Address 4 Address 5 Address 6 Address 7 a b c!a!b!c!a!b c!a b!c!a b c a!b!c a!b c a b!c a b Predefined link Programmable link c a!a b!b c!c l l l Programmable OR array Solo es configurable la matriz OR. Útiles para ecuaciones con pocas entradas y muchos términos producto. Quiere decir Programmable Read Only Memory Predefined AND array w x y

37 Implementación de una función lógica combinacional a b c ^ w x y a b c w x y

38 Implementación con PROM a b c Predefined link Programmable link Address 0 Address 1 Address 2 Address 3 Address 4 Address 5 Address 6 Address 7 a!a b!b c!c!a!b!c!a!b c!a b!c!a b c a!b!c a!b c a b!c a b c l l l Programmable OR array Predefined AND array w x y w = (a b) x =!(a b) y = (a b) ^ c

39 PLA (Programmable Logic Array) a b c Predefined link Programmable link a!a b!b c!c N/A N/A N/A l l l Programmable OR array Predefined Programmable AND array w x y Disponible a partir de 1975, se pueden programar los dos arrays. Se hicieron algunas variantes: arrays AND con arrays NOR. No mucho éxito en el mercado Son útiles cuando diversas funciones usan o comparten términos producto. Son mas lentas que las PROMS

40 Implementación con PLA a b c Predefined link Programmable link a!a b!b c!c a a b c!b!c c l l l Programmable OR array Predefined AND array w x y w = (a c) (!b!c) x = (a b c) (!b!c) y = (a b c)

41 PAL (Programmable Array Logic) a b c Predefined link Programmable link Al revés de las PROM, la parte programable es la matriz AND a!a b!b c!c Programmable AND array l l l w x y Predefined OR array Las GAL (Generic Array Logic) son variaciones de las PAL, mas sofisticados (EE) Todos estos dispositivos, aparecen en el mercado con una variedad de opciones: inversión de las salidas, salidas triestado, salidas registradas, etc. Además de tener un número mas grande de entradas y salidas.

42 CPLD s (vamos llegando) Programmable Interconnect matrix Input/output pins SPLD-like blocks A finales de los 70, los inventores de la PAL, introducen el Mega-Pal, dispositivo con 4 Standard Pals interconectadas de alguna manera. No funcionó. Consumía mucho. 1984: Altera (nueva empresa) introduce el CPLD basado en tecnología CMOS y EPROM. Las conexiones entre los bloques se programan mediante la matriz de interconexión.

43 Proceso de Diseño Como se diseñaba un circuito usando PLD s? Se realizaba un esquemático o bien un diagrama de estados, todo en papel porque no existían las herramientas que hoy conocemos. El esquemático se convertía del papel a una tabla (con un formato especial, requerido por el dispositivo programador). Esta tabla indicaba que conexiones se debían programar. La tabla se tipeaba en un computadora y se bajaba luego al dispositivo programador. Cada vendedor de PLD s, obviamente, había desarrollado su propio formato para el archivo, que solo servía para sus dispositivos. (a) Host computer Unprogrammed device Programmed device (b) Device programmer

44 Ensambladores y Herramientas de Diseño 1980: JEDEC (Joint Electron Device Engineering Council) propone un formato standard para los archivos de configuracion de los PLD s. John Birkner, creador de las PAL, crea PALASM el primer ensamblador para PAL. Es un primitivo HDL (Hardware Description Languaje) y además una aplicación software. Es usado para trasladar expresiones booleanas y grafos de estados a una tabla para PAL. PALASM solo es para PAL s fabricadas por MMI (Monolithic Memories Inc). No realiza minimizaciones o optimaciones. 1983: ABEL (Advanced Boolean Expression Languaje ) y CUPL (Common Universal tool for Programmable Logic). Ambas herramientas trabajan con diversos tipos de PLD s y de fabricantes. Estas herramientas y HDL son las precursoras de VHDL y Verilog, lenguajes HDL de alto nivel y herramientas que son usadas hoy para los ASIC s y FPGA.

45 PALASM

46 PALASM opcional obligado Equaciones booleanas Trace define que señales mostrará el simulador. SETF define el valor de las variables en cada simulación. TITLE Example PATTERN Simple REVISION A AUTHOR ECEN 220 COMPANY BYU DATE March 1, 1995 CHIP Example PAL2OL8 ;PINS ; B A C D NC NC NC NC NC NC NC GND ; NC NC NC NC NC NC NC NC Z /X NC VCC EQUATIONS X=/A*B + A*B + A*/B + /A*/B*C Z=/A*B + A*B + A*/B + /A*/B*C SIMULATION TRACE-ON A B C /X Z SETF /A /B /C SETF /A /B C SETF /A B /C SETF /A B C SETF A /B /C SETF A /B C SETF A B /C SETF A B C TRACE-OFF

47 Entradas (20) : 1..11; 13-14; Salidas (8): Algunas salidas (16..22) son triestado. Es decir, pueden ser configuradas tanto como entradas como salidas. Todas las salidas son negadas. Pin 12 es ground, Pin 24 es Vcc. PAL20L8

48 24-Pin Small PAL Family PAL 20 L 8

49 Después de creado el archivo extensión.pds se ensambla. El ensambaldor genera dos archivos:.xpt: contiene el fuse map.trf es el archivo para simulación x se usa para denotar un contacto quemado. Columnas 0,1,2,3,4 5 corresponden a las entradas y sus complementarios. Cada fila es un término producto Filas 0,1,2,3 corresponden a la función \x (sus términos producto y su habilitación) Filas 8 y 9 corresponden a la función Z. Sus términos producto y su habilitación. Fijense que cada OR puede admitir hasta 7 términos producto (pues uno de ellos se reserva para la habilitación) PALASM: archivo.xpt

50 PALASM: archivo.xpt Interpretación de los términos producto. La función X=/A*B + A*B + A*/B + /A*/B*C Simplificada es : / X=A + B + C Comprobarlo!!!!! (Pista: Usar Mapas de Karnaugh o bien deducirlo de la Tabla de Verdad). La función Z=/A*B + A*B + A*/B + /A*/B*C Es idéntica a la anterior, salvo en el valor de la variable dependiente Z, que ahora la usamos sin negar. Pero, las salidas son negadas en el dispositivo. Así que el ensamblador tiene que complementar la expresión Z=A + B + C /Z= /A*/B*/C (aquí se aplica Morgan)

51 PALASM: archivo.trf (simulación)

52 FPGA Hacia 1980, es evidente que hay un GAP entre el mundo de los IC. Por un lado, los dispositivos programables, muy sencillos pero muy configurables. Por el otro, los ASIC s, soportando funciones complejas, pero muy caros, y muy costosos en tiempo de diseñar. Además, una vez el diseño estaba hecho, quedaba congelado en el silicio. Para salvar ese GAP, Xilinx lanza al mercado en 1984, una nueva clase de IC: FPGA. PLDs SPLDs CPLDs The GAP ASICs Gate Arrays Structured ASICs* Standard Cell Full Custom *Not available circa early 1980s

53 FPGA Basados en el concepto de bloque lógico programable, que contiene una LUT, un registro y un multiplexor. Cada FPGA contendrá un número grande de estos bloques. Utilizando SRAM todos los bloques se pueden programar para que realicen una función diferente. a b c d clock 3-input LUT mux flip-flop y q

54 FPGA a b c Required function y = (a b)!c y Truth table a b c y Programmed LUT SRAM cells :1 Multiplexer y a b c

55 Bloques Básicos de Xilinx Se llama Logic Cell (LC) y está compuesto (básicamente) por: Una LUT de 4 entradas Un multiplexor Un registro (flip-flop (actúa por flanco de reloj) o latch (actúa por nivel, monitorea siempre las entradas)) a b c d e clock clock enable set/reset 16-bit SR 16x1 RAM 4-input LUT mux flip-flop y q

56 LUT s de SRAM Cuando una arquitectura basada en LUT se implementa con celdas de SRAM, las celdas, además de LUT s, pueden ser utilizadas como pequeños bloques de memoria RAM (una LUT de 16 entradas por un bit, puede ser una RAM de 16x1). Esto se denomina RAM Distribuida. (Distributed RAM) Como las celdas de SRAM están encadenadas unas con otras (las celdas de SRAM de configuración, pero también las de las LUT,s) formando una larga cadena, algunos fabricantes permiten que las que forman las LUT s sean tratadas de forma independiente, como un shift register. From the previous cell in the chain To the next cell in the chain bit SR 16 x 1 RAM 4-input LUT SRAM cells

57 Slice de Xilinx Dos LC forman un Slice. El slice comparte algunas señales: Clock Clock Enable Set/Reset 16-bit SR 16x1 RAM 4-input LUT Logic Cell (LC) LUT MUX REG 16-bit SR 16x1 RAM 4-input LUT Slice Logic Cell (LC) LUT MUX REG

58 CLB de Xilinx Configurable logic block (CLB) Un nivel mas arriba están los CLB s: Configurable Logic Block. Pueden tener dos o cuatro slices, (o los que sean en el futuro) Un CLB corresponde a una isla de lógica programable dentro del mar de las conexiones programables. A la jerarquía de bloques, le corresponde una jerarquía en las interconexiones. Es decir, hay una muy rápida interconexión entre LC s, un poco menos rápida entre Slices y mas lenta entre CLB s. Local Routing comunica slices dentro del CLB y con otros CLB s cercanos. Una matriz de interconexión provee acceso a recursos generales CLB CLB Switch Matrix CLB CLB BUFT BUF T SHIFT Slice S1 Slice S0 COUT Slice Logic cell Logic cell Slice Logic cell Logic cell COUT Slice S3 Slice S2 Slice Logic cell Logic cell Slice Logic cell Logic cell Local Routing CIN CIN

59 FPGA 1985

60 Familia Spartan 6

61 All Programable SoC

62 All Programable SoC

63 Zynq Board (ZyBO, de Digilent)

LÓGICA PROGRAMABLE. Introducción Simple PLDs Complex PLDs FPGAs. Dpto. Ingeniería Electrónica y Comunicaciones

LÓGICA PROGRAMABLE. Introducción Simple PLDs Complex PLDs FPGAs. Dpto. Ingeniería Electrónica y Comunicaciones Introducción Simple PLDs Complex PLDs FPGAs Diseño tradicional: basado en CIs estándar SSI/MSI Obtención de la función lógica Reducción a términos producto Minimización: Número de integrados Retardo de

Más detalles

Dispositivos Lógicos Programables (FPGAs) Guillermo Güichal Emtech www.emtech.com.ar

Dispositivos Lógicos Programables (FPGAs) Guillermo Güichal Emtech www.emtech.com.ar Dispositivos Lógicos Programables (FPGAs) Guillermo Güichal Emtech www.emtech.com.ar Temario Introducción Circuitos Digitales FPGAs Flujo y Herramientas de Diseño Diseño para Síntesis Simulación Ejemplos

Más detalles

Técnicas de Programación Hardware: CAD para FPGAs y CPLDs

Técnicas de Programación Hardware: CAD para FPGAs y CPLDs Técnicas de Programación Hardware: CAD para FPGAs y CPLDs Clase 4: FPGAs Por: Nelson Acosta & Daniel Simonelli UNICEN - Tandil - 1999 1 Implementación de Sistemas Procesador convencional. Economico, conjunto

Más detalles

Laboratorio de Diseño de Sistemas Digitales

Laboratorio de Diseño de Sistemas Digitales Proceso de Diseño Laboratorio de Diseño de Sistemas Digitales I Semestre 2008 Ing. Gabriela Ortiz L. Diseño Implementación Depuración Diseño: Concepto inicial. Cuál es la función que lleva a cabo el objeto?

Más detalles

Encuesta sobre utilización de la microelectrónica en la Argentina

Encuesta sobre utilización de la microelectrónica en la Argentina Encuesta sobre utilización de la microelectrónica en la Argentina Los dispositivos microelectrónicos forman el corazón de todo sistema electrónico de nuestros días. En ellos los circuitos alcanzan las

Más detalles

Metodologías de diseño de hardware

Metodologías de diseño de hardware Capítulo 2 Metodologías de diseño de hardware Las metodologías de diseño de hardware denominadas Top-Down, basadas en la utilización de lenguajes de descripción de hardware, han posibilitado la reducción

Más detalles

Curso Completo de Electrónica Digital

Curso Completo de Electrónica Digital CURSO Curso Completo de Electrónica Digital Departamento de Electronica y Comunicaciones Universidad Pontifica de Salamanca en Madrid Prof. Juan González Gómez Capítulo 4 CIRCUITOS COMBINACIONALES 4.1.

Más detalles

CAPITULO 5. DISPOSITIVOS DE LOGICA RECONFIGURABLE

CAPITULO 5. DISPOSITIVOS DE LOGICA RECONFIGURABLE CAPITULO 5. DISPOSITIVOS DE LOGICA RECONFIGURABLE Los FPGA fueron introducidos por Xilinx en la mitad de 1980. Ellos difieren de los CPLDs en la arquitectura, por su tecnología de almacenamiento, número

Más detalles

El tamaño, estructura, número de bloques y la cantidad y conectividad de las conexiones varian en las distintas arquitecturas.

El tamaño, estructura, número de bloques y la cantidad y conectividad de las conexiones varian en las distintas arquitecturas. Que es una FPGA? Las FPGA s (Field Programmable Gate Array) Son dispositivos lógicos de propósito general programable por los usuarios, compuesto de bloques lógicos comunicados por conexiones programables.

Más detalles

TEMA 5. ELECTRÓNICA DIGITAL

TEMA 5. ELECTRÓNICA DIGITAL TEMA 5. ELECTRÓNICA DIGITAL 1. INTRODUCCIÓN Los ordenadores están compuestos de elementos electrónicos cuyas señales, en principio, son analógicas. Pero las señales que entiende el ordenador son digitales.

Más detalles

Figura 1. Símbolo que representa una ALU. El sentido y la funcionalidad de las señales de la ALU de la Figura 1 es el siguiente:

Figura 1. Símbolo que representa una ALU. El sentido y la funcionalidad de las señales de la ALU de la Figura 1 es el siguiente: Departamento de Ingeniería de Sistemas Facultad de Ingeniería Universidad de Antioquia Arquitectura de Computadores y Laboratorio ISI355 (2011 2) Práctica No. 1 Diseño e implementación de una unidad aritmético

Más detalles

CONTROL DIGITAL PARA CONVERTIDOR MULTINIVEL ALIMENTADO CON ENERGÍA SOLAR. Anexo A: FPGA. Introducción

CONTROL DIGITAL PARA CONVERTIDOR MULTINIVEL ALIMENTADO CON ENERGÍA SOLAR. Anexo A: FPGA. Introducción Anexo A: FPGA Introducción Cuando se requiere del diseño de un sistema electrónico y surge la necesidad de implementar una parte con hardware dedicado son varias las posibilidades que hay. Una es un diseño

Más detalles

Alternativas de implementación: Estilos

Alternativas de implementación: Estilos Alternativas de implementación: Estilos Alternativas de implementación: Estilos Alternativas de implementación: Estilos µprocesador INTEL 386: 3 estilos de layout Datapath: ALU 2-D arrays: Memoria Standard

Más detalles

FPGA (CAMPO DE MATRIZ DE PUERTAS PROGRAMABLES).

FPGA (CAMPO DE MATRIZ DE PUERTAS PROGRAMABLES). FPGA (CAMPO DE MATRIZ DE PUERTAS PROGRAMABLES). 1 1 FIELD PROGRAMMABLE GATE ARRAY Un FPGA (Field Programmable Gate Array) permite implementar cualquier circuito digital de aplicación específica. Las aplicaciones

Más detalles

Transformación de binario a decimal. Transformación de decimal a binario. ELECTRÓNICA DIGITAL

Transformación de binario a decimal. Transformación de decimal a binario. ELECTRÓNICA DIGITAL ELECTRÓNICA DIGITAL La electrónica es la rama de la ciencia que se ocupa del estudio de los circuitos y de sus componentes, que permiten modificar la corriente eléctrica amplificándola, atenuándola, rectificándola

Más detalles

Laboratorio 4: Uso de una FPGA

Laboratorio 4: Uso de una FPGA Laboratorio 4: Uso de una FPGA Objetivos: Conocer y comprender la estructura interna de una FPGA y su tarjeta de desarrollo que será usada en el laboratorio, y los cuidados y recomendaciones para evitar

Más detalles

Introducción a las FPGA

Introducción a las FPGA Introducción a las FPGA Introducción a la Microfabricación y las FPGA Instituto Balseiro 12 de Agosto 2013 Hoy veremos... Menú del Día Qué es una FPGA. Para qué se usan. Arquitecturas. Flujo de diseño.

Más detalles

Introducción a FPGAs. Contenido

Introducción a FPGAs. Contenido Introducción a FPGAs Dra. Claudia Feregrino cferegrino@inaoep.mx Contenido 1. FPGA 2. Arquitectura genérica 3. Celda lógica 4. Field Programmable 5. Cómo se programa un FPGA 6. Herramientas de diseño 7.

Más detalles

Introducción a los FPGAs y el Cómputo Reconfigurable Miguel Morales Sandoval INAOE, 2006

Introducción a los FPGAs y el Cómputo Reconfigurable Miguel Morales Sandoval INAOE, 2006 Introducción a los FPGAs y el Cómputo Reconfigurable Miguel Morales Sandoval INAOE, 2006 Qué son los FPGAs? Matriz de bloques lógicos configurables (CLB) y una matriz de interconexión. Los bloques lógicos

Más detalles

MANUAL COPIAS DE SEGURIDAD

MANUAL COPIAS DE SEGURIDAD MANUAL COPIAS DE SEGURIDAD Índice de contenido Ventajas del nuevo sistema de copia de seguridad...2 Actualización de la configuración...2 Pantalla de configuración...3 Configuración de las rutas...4 Carpeta

Más detalles

Módulo 1 El lenguaje Java

Módulo 1 El lenguaje Java Módulo 1 El lenguaje 1.1 Presentación de es un lenguaje de programación desarrollado por la empresa Sun Microsystems en 1991 como parte de un proyecto secreto de investigación llamado Green Proyect, con

Más detalles

Electrónica Digital II

Electrónica Digital II Electrónica Digital II M. C. Felipe Santiago Espinosa Aplicaciones de los FPLDs Octubre / 2014 Aplicaciones de los FPLDs Los primeros FPLDs se usaron para hacer partes de diseños que no correspondían a

Más detalles

OR (+) AND( ). AND AND

OR (+) AND( ). AND AND Algebra de Boole 2.1.Introducción 2.1. Introducción El Algebra de Boole es un sistema matemático que utiliza variables y operadores lógicos. Las variables pueden valer 0 o 1. Y las operaciones básicas

Más detalles

Guía de uso del Cloud Datacenter de acens

Guía de uso del Cloud Datacenter de acens guíasdeuso Guía de uso del Cloud Datacenter de Calle San Rafael, 14 28108 Alcobendas (Madrid) 902 90 10 20 www..com Introducción Un Data Center o centro de datos físico es un espacio utilizado para alojar

Más detalles

INSTITUTO POLITÉCNICO NACIONAL ESCUELA SUPERIOR DE INGENIERIA MECANICA Y ELECTRICA INGENIERIA EN COMUNICACIONES Y ELECTRÓNICA ACADEMIA DE COMPUTACIÓN

INSTITUTO POLITÉCNICO NACIONAL ESCUELA SUPERIOR DE INGENIERIA MECANICA Y ELECTRICA INGENIERIA EN COMUNICACIONES Y ELECTRÓNICA ACADEMIA DE COMPUTACIÓN I. P. N. ESIME Unidad Culhuacan INSTITUTO POLITÉCNICO NACIONAL ESCUELA SUPERIOR DE INGENIERIA MECANICA Y ELECTRICA UNIDAD CULHUACAN INGENIERIA EN COMUNICACIONES Y ELECTRÓNICA ACADEMIA DE COMPUTACIÓN LABORATORIO

Más detalles

Curso S08 para Cavernícolas

Curso S08 para Cavernícolas Curso S08 para Cavernícolas Tema ORIGENES DE LOS MICROCONTROLADORES FREESCALE, 2014 Todos los derechos reservados INTRODUCION Desde sus inicios como Motorola, esta empresa ha sido reconocida mundialmente

Más detalles

SINTESIS Y DESCRIPCIÓN DE CIRCUITOS DIGITALES UTILIZANDO VHDL ANTECEDENTES

SINTESIS Y DESCRIPCIÓN DE CIRCUITOS DIGITALES UTILIZANDO VHDL ANTECEDENTES ANTECEDENTES En los últimos diez años la industria electrónica ha tenido una gran evolución en el desarrollo de sistemas digitales; desde computadoras personales, sistemas de audio y vídeo hasta dispositivos

Más detalles

Diseño de Sistemas con FPGA. Patricia Borensztejn Primer Cuatrimestre 2012

Diseño de Sistemas con FPGA. Patricia Borensztejn Primer Cuatrimestre 2012 Diseño de Sistemas con FPGA Patricia Borensztejn Primer Cuatrimestre 2012 Objetivo del curso Adquirir conocimientos elementales de la arquitectura de las FPGA actuales Aprender a utilizar las herramientas

Más detalles

1.1. Tecnologías de diseño de circuitos integrados

1.1. Tecnologías de diseño de circuitos integrados 1.1. Tecnologías de diseño de circuitos integrados Durante la década de los 80, varias compañías intentaron resolver el viejo compromiso de complejidad versus estandarización. Por un lado se tenía la opción

Más detalles

5. Metodologías de diseño de un ASIC

5. Metodologías de diseño de un ASIC 5. Metodologías de diseño de un ASIC 5.1. Introducción 5.2. Gate Arrays 5.3. Standard Cells 5.4. Seas of Gates 5.5. Dispositivos programables FPGAs Dispositivos programables El diseño de circuitos integrados

Más detalles

Tema 11: Sistemas combinacionales

Tema 11: Sistemas combinacionales Tema 11: Sistemas combinacionales Objetivo: Introducción Generador Comprobador de paridad Comparadores Semisumador (HA) Sumador Completo (FA) Expansión de sumadores Sumador paralelo con arrastre serie

Más detalles

Breve Curso de VHDL. M. C. Felipe Santiago Espinosa. Profesor Investigador UTM

Breve Curso de VHDL. M. C. Felipe Santiago Espinosa. Profesor Investigador UTM Breve Curso de VHDL M. C. Felipe Santiago Espinosa Profesor Investigador UTM Noviembre de 2007 1 Orden del curso 1. Introducción al diseño con VHDL. 2. Unidades de diseño en VHDL. 3. Señales. 4. Especificación

Más detalles

Palabras Clave: Vídeo en FPGA, Procesamiento en Tiempo Real RESUMEN

Palabras Clave: Vídeo en FPGA, Procesamiento en Tiempo Real RESUMEN Procesamiento de Vídeo en Tiempo Real Utilizando FPGA J. G. Velásquez-Aguilar, A. Zamudio-Lara Centro de Investigación en Ingeniería y Ciencias Aplicadas, Universidad Autónoma del Estado de Morelos, Cuernavaca,

Más detalles

28 = 16 + 8 + 4 + 0 + 0 = 11100 1

28 = 16 + 8 + 4 + 0 + 0 = 11100 1 ELECTRÓNICA DIGITAL 4º ESO Tecnología Introducción Imaginemos que deseamos instalar un sistema electrónico para la apertura de una caja fuerte. Para ello debemos pensar en el número de sensores que nos

Más detalles

Tema 7. SISTEMAS SECUENCIALES SISTEMAS SECUENCIALES SÍNCRONOS

Tema 7. SISTEMAS SECUENCIALES SISTEMAS SECUENCIALES SÍNCRONOS Fundamentos de Computadores. Sistemas Secuenciales. T7-1 INDICE: Tema 7. SISTEMAS SECUENCIALES INTRODUCCIÓN SISTEMAS SECUENCIALES SÍNCRONOS TIPOS DE BIESTABLES o TABLAS DE ECITACIÓN DE LOS BIESTABLES o

Más detalles

COMO CONFIGURAR UNA MAQUINA VIRTUAL EN VIRTUALBOX PARA ELASTIX

COMO CONFIGURAR UNA MAQUINA VIRTUAL EN VIRTUALBOX PARA ELASTIX COMO CONFIGURAR UNA MAQUINA VIRTUAL EN VIRTUALBOX PARA ELASTIX En este manual se presenta el proceso de configuración de una Maquina Virtual en VirtualBox, que será utilizada para instalar un Servidor

Más detalles

Manual de uso de la plataforma para monitores. CENTRO DE APOYO TECNOLÓGICO A EMPRENDEDORES -bilib

Manual de uso de la plataforma para monitores. CENTRO DE APOYO TECNOLÓGICO A EMPRENDEDORES -bilib Manual de uso de la plataforma para monitores CENTRO DE APOYO TECNOLÓGICO A EMPRENDEDORES -bilib [Manual de uso de la plataforma para monitores] 1. Licencia Autor del documento: Centro de Apoyo Tecnológico

Más detalles

Tipos de Dispositivos Controladores

Tipos de Dispositivos Controladores Tipos de Dispositivos Controladores PLC Allen Bradley Siemens Schneider OMRON PC & Software LabView Matlab Visual.NET (C++, C#) FPGAS Xilinx Altera Híbridos Procesador + FPGA (altas prestaciones) µcontrolador

Más detalles

DISPOSITIVOS DE LÓGICA PROGRAMABLES EN CAMPO (FPGA)

DISPOSITIVOS DE LÓGICA PROGRAMABLES EN CAMPO (FPGA) DISPOSITIVOS DE LÓGICA PROGRAMABLES EN CAMPO (FPGA) DIGITAL II ECA Departamento de Sistemas e Informática Escuela de Ingeniería Electrónica Rosa Corti 1 Preguntas a responder sobre FPGA Qué innovación

Más detalles

CODIFICADORES. Cuando solo una de las entradas está activa para cada combinación de salida, se le denomina codificador completo.

CODIFICADORES. Cuando solo una de las entradas está activa para cada combinación de salida, se le denomina codificador completo. Circuitos Combinacionales MSI CODIFICADORES Son los dispositivos MSI que realizan la operación inversa a la realizada por los decodificadores. Generalmente, poseen 2 n entradas y n salidas. Cuando solo

Más detalles

Una computadora de cualquier forma que se vea tiene dos tipos de componentes: El Hardware y el Software.

Una computadora de cualquier forma que se vea tiene dos tipos de componentes: El Hardware y el Software. ARQUITECTURA DE LAS COMPUTADORAS QUE ES UNA COMPUTADORA (UN ORDENADOR)? Existen numerosas definiciones de una computadora, entre ellas las siguientes: 1) Una computadora es un dispositivo capaz de realizar

Más detalles

Técnicas de Programación Hardware: CAD para FPGAs y CPLDs. Clase 1: Lógica Configurable

Técnicas de Programación Hardware: CAD para FPGAs y CPLDs. Clase 1: Lógica Configurable Técnicas de Programación Hardware: CAD para FPGAs y CPLDs Clase 1: Lógica Configurable Por: Nelson Acosta & Daniel Simonelli UNICEN - Tandil - 1999 1 Organización del curso Clases teórico/prácticas: 1

Más detalles

UPV-EHU. EUITI e ITT Vitoria-Gasteiz. Ampliación de Sistemas Digitales. Depuración de programas

UPV-EHU. EUITI e ITT Vitoria-Gasteiz. Ampliación de Sistemas Digitales. Depuración de programas DEPARTAMENTO DE ELECTRONICA Y TELECOMUNICACIONES ESCUELA UNIVERSITARIA DE INGENIERIA TECNICA INDUSTRIAL E INGENIERIA TECNICA EN TOPOGRAFIA VITORIA-GASTEIZ UNIVERSIDAD DEL PAIS VASCO - EUSKAL HERRIKO UNIBERTSITATEA

Más detalles

Nombre de la asignatura: Clave de la asignatura: SIB-1307 1-4-5. Carrera:

Nombre de la asignatura: Clave de la asignatura: SIB-1307 1-4-5. Carrera: 1. Datos generales de la asignatura Nombre de la asignatura: Clave de la asignatura: Créditos(Ht-Hp_créditos): Carrera: Sistemas Embebidos SIB-1307 1-4-5 Ingeniería Electrónica 2. Presentación Caracterización

Más detalles

Introducción a VHDL. Sistemas digitales UTM-2006 JJVS

Introducción a VHDL. Sistemas digitales UTM-2006 JJVS Introducción a VHDL Sistemas digitales UTM-2006 JJVS Surgimiento de VHDL Necesidad de nuevos métodos ya que los clásicos (esquemáticos), llegan a ser ineficientes en diseños de altas escalas de integración.

Más detalles

Capítulo 1 Documentos HTML5

Capítulo 1 Documentos HTML5 Capítulo 1 Documentos HTML5 1.1 Componentes básicos HTML5 provee básicamente tres características: estructura, estilo y funcionalidad. Nunca fue declarado oficialmente pero, incluso cuando algunas APIs

Más detalles

Firewall Firestarter. Establece perímetros confiables.

Firewall Firestarter. Establece perímetros confiables. Firewall Firestarter Qué es un Firewall? Un muro de fuego (firewall en inglés) es una parte de un sistema o una red que está diseñada para bloquear el acceso no autorizado, permitiendo al mismo tiempo

Más detalles

Diseño de Sistemas con FPGA. Patricia Borensztejn Primer Cuatrimestre 2013

Diseño de Sistemas con FPGA. Patricia Borensztejn Primer Cuatrimestre 2013 Diseño de Sistemas con FPGA Patricia Borensztejn Primer Cuatrimestre 2013 Objetivo del curso Adquirir conocimientos elementales de la arquitectura de las FPGA actuales Aprender a utilizar las herramientas

Más detalles

TECNICO SUPERIOR UNIVERSITARIO EN TECNOLOGIAS DE LA INFORMACION Y COMUNICACIÓN ÁREA REDES Y TELECOMUNICACIONES.

TECNICO SUPERIOR UNIVERSITARIO EN TECNOLOGIAS DE LA INFORMACION Y COMUNICACIÓN ÁREA REDES Y TELECOMUNICACIONES. TECNICO SUPERIOR UNIVERSITARIO EN TECNOLOGIAS DE LA INFORMACION Y COMUNICACIÓN ÁREA REDES Y TELECOMUNICACIONES. HOJA DE ASIGNATURA CON DESGLOSE DE UNIDADES TEMÁTICAS 1. Nombre de la asignatura Sistemas

Más detalles

CAPÍTULO 3 MÓDULO DIGITAL PARA CONVERSIÓN DE VIDEO

CAPÍTULO 3 MÓDULO DIGITAL PARA CONVERSIÓN DE VIDEO CAPÍTULO 3 MÓDULO DIGITAL PARA CONVERSIÓN DE VIDEO 3.1 INTRODUCCIÓN Las señales provenientes de una tarjeta de video de una computadora son formatos estandarizados que podemos emplear para desplegar información

Más detalles

Creado dentro de la línea de sistemas operativos producida por Microsoft Corporation.

Creado dentro de la línea de sistemas operativos producida por Microsoft Corporation. WINDOWS Windows, Es un Sistema Operativo. Creado dentro de la línea de sistemas operativos producida por Microsoft Corporation. Dentro de los tipos de Software es un tipo de software de Sistemas. Windows

Más detalles

ACTIVIDADES TEMA 1. EL LENGUAJE DE LOS ORDENADORES. 4º E.S.O- SOLUCIONES.

ACTIVIDADES TEMA 1. EL LENGUAJE DE LOS ORDENADORES. 4º E.S.O- SOLUCIONES. 1.- a) Explica qué es un bit de información. Qué es el lenguaje binario? Bit es la abreviatura de Binary digit. (Dígito binario). Un bit es un dígito del lenguaje binario que es el lenguaje universal usado

Más detalles

Configuración de la red

Configuración de la red Semana 55 Empecemos! Bienvenidos a una nueva semana de trabajo! Aprenderemos sobre la configuración de la red LAN, la cual es una de las tareas más importantes del administrador de la red. La mayoría de

Más detalles

3.1 Introducción a VHDL

3.1 Introducción a VHDL Capítulo 3 Implementación en VHDL A continuación se va a explicar brevemente el funcionamiento de VHDL y las componentes de programación para poder entender mejor el programa. Una vez explicado esto, se

Más detalles

WWW.COMPUTERCOACHPROGRAM.COM

WWW.COMPUTERCOACHPROGRAM.COM Generalmente la mayoría de las personas pensamos que las computadoras son aparatos difíciles de utilizar. Esta forma de pensar se debe a que estos sorprendentes aparatos pueden realizar tareas que hace

Más detalles

TUTORIAL PARA CREAR UN SERVIDOR FTP

TUTORIAL PARA CREAR UN SERVIDOR FTP TUTORIAL PARA CREAR UN SERVIDOR FTP A continuación ustedes podrán observar y luego implementar el informe que elaboré a fin de que TODOS puedan aprender a montar y mantener su propio Servidor FTP. Comenzaremos

Más detalles

Conexión de GPS a Open CPN.

Conexión de GPS a Open CPN. Conexión de GPS a Open CPN. Los GPS pueden ser por Bluetooth, USB o Serie. Trasmiten los datos a través de un puerto serie o Puerto COM Los puertos COM son puertos de comunicación Serie; que puede ser

Más detalles

Introducción a Moodle

Introducción a Moodle Instituto la Américas de Nayarit Ing. Elías Portugal Luna Qué es Moodle? Moodle es una aplicación web de tipo Ambiente Educativo Virtual, un sistema de gestión de cursos, de distribución libre, que ayuda

Más detalles

Responsive Web Design Diseño Web Adaptable

Responsive Web Design Diseño Web Adaptable Responsive Web Design Diseño Web Adaptable Hoy en día los usuarios de nuestras páginas web o tiendas online pueden acceder a las mismas desde diferentes medios: un ordenador de sobremesa con pantalla panorámica,

Más detalles

Guía de selección de hardware Windows MultiPoint Server 2010

Guía de selección de hardware Windows MultiPoint Server 2010 Guía de selección de hardware Windows MultiPoint Server 2010 Versión de documento 1.0 Publicado en marzo del 2010 Información sobre los derechos de reproducción Este documento se proporciona como está.

Más detalles

Implementando un ERP La Gestión del Cambio

Implementando un ERP La Gestión del Cambio Artículos> Implementando un ERP - La Gestión del Cambio Artículo Implementando un ERP La Gestión del Cambio 1 Contenido Sumario Ejecutivo 3 Los sistemas ERP flexibilizan la gestión de la empresa y su cadena

Más detalles

Actividad 2: Configurar e Instalar un Sistema Operativo virtual

Actividad 2: Configurar e Instalar un Sistema Operativo virtual Mantenmiento Unidad 3 Actividad 2: Configurar e Instalar un Sistema Operativo virtual VirtualBox soporta la virtualización de los siguientes sistemas operativos: Microsoft Windows GNU/Linux Mac OS X OS/2

Más detalles

1 EL SISTEMA R/3 DE SAP AG

1 EL SISTEMA R/3 DE SAP AG 1 EL SISTEMA R/3 DE SAP AG SAP AG es una corporación en el ámbito mundial. Fundada en 1972 y con sede en Walldorf, Alemania, SAP es la cuarta compañía mundial en ventas de software en el mundo. La compañía

Más detalles

GUÍA PARA LA INSTALACIÓN DE MOODLE EN UN COMPUTADOR PERSONAL QUE USA EL SISTEMA OPERATIVO MS. WINDOWS

GUÍA PARA LA INSTALACIÓN DE MOODLE EN UN COMPUTADOR PERSONAL QUE USA EL SISTEMA OPERATIVO MS. WINDOWS GUÍA PARA LA INSTALACIÓN DE MOODLE EN UN COMPUTADOR PERSONAL QUE USA EL SISTEMA OPERATIVO MS. WINDOWS Objetivo: El propósito de esta guía es indicarle como configurar un entorno moodle de prácticas en

Más detalles

Capítulo 1. Introducción

Capítulo 1. Introducción Capítulo 1. Introducción Nombre del Tema Aspectos de seguridad en aplicaciones basadas en WIFI. Asesor: Dr. Oleg Starostenko Basarab Actualidad y Definición del problema Desde hace ya tiempo nos hemos

Más detalles

QUÉ ES UN SERVIDOR Y CUÁLES SON LOS PRINCIPALES TIPOS DE SERVIDORES? (PROXY, DNS, WEB, FTP, SMTP, ETC.) (DV00408A)

QUÉ ES UN SERVIDOR Y CUÁLES SON LOS PRINCIPALES TIPOS DE SERVIDORES? (PROXY, DNS, WEB, FTP, SMTP, ETC.) (DV00408A) APRENDERAPROGRAMAR.COM QUÉ ES UN SERVIDOR Y CUÁLES SON LOS PRINCIPALES TIPOS DE SERVIDORES? (PROXY, DNS, WEB, FTP, SMTP, ETC.) (DV00408A) Sección: Divulgación Categoría: Herramientas Informáticas Fecha

Más detalles

FP BÁSICA Ofimática y archivo de Documentos

FP BÁSICA Ofimática y archivo de Documentos FP BÁSICA Ofimática y archivo de Documentos ÍNDICE Introducción. Licencias de Software 1. Introducción Qué es hardware y qué es software? El término hardware (hw) hace referencia a las partes tangibles

Más detalles

Generación de funciones lógicas mediante decodificadores binarios con salidas activas a nivel alto

Generación de funciones lógicas mediante decodificadores binarios con salidas activas a nivel alto Generación de funciones lógicas mediante decodificadores binarios con salidas activas a nivel alto Apellidos, nombre Martí Campoy, Antonio (amarti@disca.upv.es) Departamento Centro Informática de Sistemas

Más detalles

Circuitos Electrónicos. Septiembre 2005/2006. Problema 1º parcial

Circuitos Electrónicos. Septiembre 2005/2006. Problema 1º parcial Circuitos Electrónicos. Septiembre 2005/2006. Problema 1º parcial Se pretende realizar el circuito lógico interno de una máquina tragaperras de tres ruletas. El sistema completo tiene un esquema como el

Más detalles

Tema 16 ELECTRÓNICA DIGITAL LENGUAJES DE DESCRIPCIÓN DE LOS SISTEMAS DIGITALES (PARTE 1)

Tema 16 ELECTRÓNICA DIGITAL LENGUAJES DE DESCRIPCIÓN DE LOS SISTEMAS DIGITALES (PARTE 1) ELECTRÓNICA DIGITAL Tema 16 LENGUAJES DE DESCRIPCIÓN DE LOS SISTEMAS DIGITALES (PARTE 1) IMPORTANCIA DE LOS ESQUEMAS Los circuitos y sistemas electrónicos digitales cuya complejidad es limitada, se pueden

Más detalles

Guía rápida de instalación Cámara CCTV-210

Guía rápida de instalación Cámara CCTV-210 Guía rápida de instalación Cámara CCTV-210 Ya conecté la cámara a mi MODEM, pero no veo nada. Qué puedo hacer? A continuación damos una guía sencilla y rápida para instalar las cámara dentro de una red

Más detalles

pymegnu v2.0 PRESENTACIÓN DE PRODUCTOS

pymegnu v2.0 PRESENTACIÓN DE PRODUCTOS PRESENTACIÓN DE PRODUCTOS pymegnu v2.0 1 INTRODUCCIÓN Nuestros sistemas 100% web le permitirán poder obtener todas las ventajas competitivas que ofrece Internet, como la disponibilidad de tener sus sistemas

Más detalles

Figura 1.4. Elementos que integran a la Tecnología de Información.

Figura 1.4. Elementos que integran a la Tecnología de Información. 1.5. Organización, estructura y arquitectura de computadoras La Gráfica siguiente muestra la descomposición de la tecnología de información en los elementos que la conforman: Figura 1.4. Elementos que

Más detalles

Curso de PHP con MySQL Gratis

Curso de PHP con MySQL Gratis Curso de PHP con MySQL Gratis Introducción Este mini curso o mini tutorial de PHP le ayudará a realizar cualquier sistema para que pueda insertar uno o varios registros a una base de datos con MySQL, este

Más detalles

Reporte inicial. Metodología

Reporte inicial. Metodología Reporte inicial Este reporte inicial expondrá las decisiones que tomamos al momento de selección de metodología, plantillas y métodos de recabado de evidencia y por qué tomamos dichas decisiones. Metodología

Más detalles

Configuración Avanzada de Transportes e Impuestos en Prestashop. Octubre 2013

Configuración Avanzada de Transportes e Impuestos en Prestashop. Octubre 2013 Configuración Avanzada de Transportes e Impuestos en Prestashop Octubre 2013 CONFIGURACION DE TRANSPORTES E IMPUESTOS 3 1.1 DEFINIR LOS IMPUESTOS 3 1.2 DEFINIR Y CREAR LAS ZONAS 3 1.3 CREAR LAS PROVINCIAS

Más detalles

Elementos requeridos para crearlos (ejemplo: el compilador)

Elementos requeridos para crearlos (ejemplo: el compilador) Generalidades A lo largo del ciclo de vida del proceso de software, los productos de software evolucionan. Desde la concepción del producto y la captura de requisitos inicial hasta la puesta en producción

Más detalles

Sube Selector Canales. Canal. Baja. Tema 4: Bases Matemáticas II. 4.1 Sistemas con memoria o secuenciales. 4.1.1 Introducción.

Sube Selector Canales. Canal. Baja. Tema 4: Bases Matemáticas II. 4.1 Sistemas con memoria o secuenciales. 4.1.1 Introducción. Bases Matemáticas II - ágina 1 de 11 Tema 4: Bases Matemáticas II. 4.1 Sistemas con memoria o secuenciales. 4.1.1 Introducción. Hasta ahora hemos tratados con dispositivos lógicos cuyas salidas dependían

Más detalles

Un pequeñísimo tutorial para explicar cómo darse de alta al MEJOR SISTEMA de compartición, backup... en la web.

Un pequeñísimo tutorial para explicar cómo darse de alta al MEJOR SISTEMA de compartición, backup... en la web. ALTA EN DROPBOX Un pequeñísimo tutorial para explicar cómo darse de alta al MEJOR SISTEMA de compartición, backup... en la web. DROPBOX EN LA RED Nos vamos a cualquiera de los navegadores que tengamos

Más detalles

Capítulo 5. Cliente-Servidor.

Capítulo 5. Cliente-Servidor. Capítulo 5. Cliente-Servidor. 5.1 Introducción En este capítulo hablaremos acerca de la arquitectura Cliente-Servidor, ya que para nuestra aplicación utilizamos ésta arquitectura al convertir en un servidor

Más detalles

Por qué deberías adaptar tu página web a la navegación móvil?

Por qué deberías adaptar tu página web a la navegación móvil? Por qué deberías adaptar tu página web a la navegación móvil? Adaptación de páginas web a dispositivos móviles, una realidad. Hoy en día, la variedad de dispositivos móviles existentes en el mercado ha

Más detalles

Interoperabilidad de Fieldbus

Interoperabilidad de Fieldbus 2002 Emerson Process Management. Todos los derechos reservados. Vea este y otros cursos en línea en www.plantwebuniversity.com. Fieldbus 201 Interoperabilidad de Fieldbus Generalidades Qué es interoperabilidad?

Más detalles

Web Móvil con webmaker

Web Móvil con webmaker Web Móvil con webmaker Tu web optimizada para todos los dispositivos Índice 1. La importancia de una web móvil 2. Ventajas de webmaker Móvil 3. Cómo configurar tu Web Móvil con webmaker 4. Cómo configurar

Más detalles

Profr. Efraín Soto Apolinar. La función lineal. y = a 0 + a 1 x. y = m x + b

Profr. Efraín Soto Apolinar. La función lineal. y = a 0 + a 1 x. y = m x + b La función lineal Una función polinomial de grado uno tiene la forma: y = a 0 + a 1 x El semestre pasado estudiamos la ecuación de la recta. y = m x + b En la notación de funciones polinomiales, el coeficiente

Más detalles

CAPITULO IV. HERRAMIENTAS DE CÓDIGO ABIERTO

CAPITULO IV. HERRAMIENTAS DE CÓDIGO ABIERTO CAPITULO IV. HERRAMIENTAS DE CÓDIGO ABIERTO En la actualidad la mayoría de las grandes empresas cuentan con un sin número de servicios que ofrecen a sus trabajadores y clientes. Muchos de estos servicios

Más detalles

CONSEJOS DE CÓMO CREAR UN DOCUMENTO ADOBE PDF ACCESIBLE A PARTIR DE UN DOCUMENTO MICROSOFT WORD ACCESIBLE

CONSEJOS DE CÓMO CREAR UN DOCUMENTO ADOBE PDF ACCESIBLE A PARTIR DE UN DOCUMENTO MICROSOFT WORD ACCESIBLE CONSEJOS DE CÓMO CREAR UN DOCUMENTO ADOBE PDF ACCESIBLE A PARTIR DE UN DOCUMENTO MICROSOFT WORD ACCESIBLE (Sistema Operativo Windows) Marzo 2011 Lourdes Moreno López 1,2 lmoreno@inf.uc3m.es 1: Grupo LaBDA,

Más detalles

FACULTAD DE INFORMATICA MATERIA: GESTION DE CONTENIDO ELECTRONICO PROFESOR: JONATHAN VEGA ALUMNOS: LUISA ROSERO JAIME CAMACHO DATOS INFORMATIVOS:

FACULTAD DE INFORMATICA MATERIA: GESTION DE CONTENIDO ELECTRONICO PROFESOR: JONATHAN VEGA ALUMNOS: LUISA ROSERO JAIME CAMACHO DATOS INFORMATIVOS: FACULTAD DE INFORMATICA MATERIA: GESTION DE CONTENIDO ELECTRONICO PROFESOR: JONATHAN VEGA ALUMNOS: LUISA ROSERO JAIME CAMACHO DATOS INFORMATIVOS: TRABAJO BIBLIOGRAFICO DE, CONCEPTOS, IMÁGENES, EJEMPLOS,

Más detalles

evaluación de competencias de 360

evaluación de competencias de 360 Los procesos de evaluación de competencias de 360 pueden variar de muchas formas, según el cliente y sus necesidades. En RhWeb hemos realizado con éxito más de 50 procesos de evaluaciones para diversos

Más detalles

PROCESAMIENTO DIGITAL DE IMÁGENES MEDIANTE EL USO DE UN FPGA Y LENGUAJE VHDL

PROCESAMIENTO DIGITAL DE IMÁGENES MEDIANTE EL USO DE UN FPGA Y LENGUAJE VHDL PROCESAMIENTO DIGITAL DE IMÁGENES MEDIANTE EL USO DE UN FPGA Y LENGUAJE VHDL N. E. Chávez Rodríguez*, A. M. Vázquez Vargas** *Departamento de Computación **Departamento de Procesamiento Digital de Señales

Más detalles

COMUNICACIÓN I2C (INTER-INTEGRATED CIRCUIT)

COMUNICACIÓN I2C (INTER-INTEGRATED CIRCUIT) COMUNICACIÓN I2C (INTER-INTEGRATED CIRCUIT) Centro CFP/ES COMUNICACIÓN I2C 1 VENTAJAS DE LA COMUNICACIÓN I2C COMPARATIVA ESTANDAR DE TRANSMISIÓN 2 DISPOSITIVOS I2C DISPOSITIVOS I2C MAX518 3 DISPOSITIVOS

Más detalles

MANUAL DE USUARIO CMS- PLONE www.trabajo.gob.hn

MANUAL DE USUARIO CMS- PLONE www.trabajo.gob.hn MANUAL DE USUARIO CMS- PLONE www.trabajo.gob.hn Tegucigalpa M. D. C., Junio de 2009 Que es un CMS Un sistema de administración de contenido (CMS por sus siglas en ingles) es un programa para organizar

Más detalles

GUÍA DOCENTE. Sistemas Integrados

GUÍA DOCENTE. Sistemas Integrados GUÍA DOCENTE Sistemas Integrados I.- DATOS INICIALES DE IDENTIFICACIÓN Nombre de la asignatura: Sistemas Integrados Número de créditos ECTS: 4 Ubicación temporal: º Semestre Materia: Sistemas Digitales

Más detalles

Creación y administración de grupos de dominio

Creación y administración de grupos de dominio Creación y administración de grupos de dominio Contenido Descripción general 1 a los grupos de Windows 2000 2 Tipos y ámbitos de los grupos 5 Grupos integrados y predefinidos en un dominio 7 Estrategia

Más detalles

TARJETA DE DESARROLLO CPLD

TARJETA DE DESARROLLO CPLD TARJETA DE DESARROLLO CPLD XC9572xl Serie 1 Características CPLD XC9572 xl vq64. o VQFP - 64 pines. o 52 pines I/O de usuario. o 5ns de retardo entre pines. o Frecuencia hasta 178MHz. o 72 macroceldas.

Más detalles

Análisis de los datos

Análisis de los datos Universidad Complutense de Madrid CURSOS DE FORMACIÓN EN INFORMÁTICA Análisis de los datos Hojas de cálculo Tema 6 Análisis de los datos Una de las capacidades más interesantes de Excel es la actualización

Más detalles

MAXHC11. TARJETA DE BAJO COSTE PARA EL DISEÑO MIXTO HARDWARE-SOFTWARE

MAXHC11. TARJETA DE BAJO COSTE PARA EL DISEÑO MIXTO HARDWARE-SOFTWARE MAXHC11. TARJETA DE BAJO COSTE PARA EL DISEÑO MIXTO HARDWARE-SOFTWARE Sadot Alexandres F.¹, José D. Muñoz F.², Pedro Pérez de A.³ Departamento de Electrónica y Automática. Escuela Técnica Superior de Ingeniería

Más detalles

Los mayores cambios se dieron en las décadas de los setenta, atribuidos principalmente a dos causas:

Los mayores cambios se dieron en las décadas de los setenta, atribuidos principalmente a dos causas: SISTEMAS DISTRIBUIDOS DE REDES 1. SISTEMAS DISTRIBUIDOS Introducción y generalidades La computación desde sus inicios ha sufrido muchos cambios, desde los grandes equipos que permitían realizar tareas

Más detalles

MACROS. Automatizar tareas a través del uso de las macros.

MACROS. Automatizar tareas a través del uso de las macros. OBJETIVOS MACROS Definiciones Automatizar tareas a través del uso de las macros. Grabar Ejecutar Manipular macros. Tipos de Macros en Excel Introducción Las operaciones tradicionales que se pueden realizar

Más detalles

FUENTES SECUNDARIAS INTERNAS

FUENTES SECUNDARIAS INTERNAS FUENTES SECUNDARIAS INTERNAS Las fuentes secundarias son informaciones que se encuentran ya recogidas en la empresa, aunque no necesariamente con la forma y finalidad que necesita un departamento de marketing.

Más detalles