DISEÑO DE PLATAFORMAS DE COMPUTO BASADAS EN FPGAS

Tamaño: px
Comenzar la demostración a partir de la página:

Download "DISEÑO DE PLATAFORMAS DE COMPUTO BASADAS EN FPGAS"

Transcripción

1 DISEÑO DE PLATAFORMAS DE COMPUTO BASADAS EN FPGAS Introducción Oviedo Marcos

2 Agenda Arquitecturas Mixtas Lógica Programable en FPGAs Ventajas y desventajas de plataformas basadas en FPGAs Porque el creciente interés de las FPGAs Implementación de un SoC Diseño embebido en una FPGA (SoC) Motivación para utilizar un SO Componentes aceleradores en FPGA Canal de comunicación entre componentes de hardware y software Temas propuestos 2 /

3 Arquitecturas Mixtas Una arquitectura mixta se basa en la utilización conjunta de un sistema embebido y módulos de computación reconfigurable a través de FPGAs. Esta integración de componentes también se conoce como Sistemas en Chip Un sistema en chip (SoC) es la habilidad de colocar múltiples funcionalidades de hardware o "sistemas" en una sola pastilla de silicio. Esto permite por ejemplo desarrollar un sistema embebido basado en microprocesador que trabaje en conjunto con un componente acelerador implementado en una FPGA. 3 /

4 Lógica Programable en FPGAs Una FPGA (Field Programmable gate array) es un chip de lógica programable que contiene: Bloques lógicos programable Matrices de interconexión programables Puertos de entrada/salida programables RAM en bloque Puede contener microprocesadores necesarios para implementar sistemas embebidos Computación reconfigurable Bloques de hardware que se pueden reprogramar e interconectar de manera diferente para implementar un nuevo componente de aplicación especifica. 4 /

5 Arquitectura de una FPGA Inputs K LUT Clock D FF Out Programmable IO Programmable Logic (CLB) I Inputs I BLE # 1 BLE # N N N Outputs Clock Programmable Routing 5 /

6 Ventajas y desventajas de plataformas basadas en FPGAs Ideal para prototipado de sistema La gran variedad de kits de desarrollo disponibles permiten encontrar los requerimientos de hardware que necesitamos para desarrollar un prototipo de nuestro sistema. Se simplifican las actualizaciones en campo La arquitectura es reconfigurable! Simplemente se baja un nueva configuración (bitstream) y se actualiza la funcionalidad. 6 /

7 Ventajas y desventajas de plataformas basadas en FPGAs (continuación) Existe un gran numero de funcionalidades de hardware en forma de librerías (IP Cores) disponibles para usar. Procesadores por software, buses de alta velocidad, interfaces de telecomunicaciones, etc. Esto permite elegir los componentes necesarios y crear nuestra plataforma. Como desventaja, el uso de plataformas basadas en FPGAs requiere conocimientos muy diferentes a los necesarios para utilizar plataformas de computo tradicionales. La curva de aprendizaje es reducida mediante el uso de herramientas EDA y ESL. 7 /

8 Porque el creciente interés de las FPGAs Field Programmable Gate Arrays Increasing speed & density Increased I/O pin count and bandwidth Lower power Lower cost per gate Integration of hard IP (e.g. multipliers, PowerPC cores) Architectural Synthesis Emergence of hardware synthesis tools based on conventional software languages (C, Fortran ) Improved hardware compiler optimization techniques Mapping of software design patterns to hardware Operations Maximum Sustained Single-Precision Floating Point Operations (GFLOPS) Maximum Floating-Point Multiply-Accumulates Cost per 1 Million Gates $350 $300 $250 $200 $150 $100 $50 $0 FPGA Costs s 90 s Now 8 /

9 Implementación de un SoC Para implementar el SoC se puede utilizar el kit de desarrollo Avnet FX12 Minimodule, compuesto por: Una FPGA Virtex4 de la empresa XILINX. Un puerto PHY 10/100/1000 Ethernet. 64 MB DDR RAM. Interfase serial RS232. Interface de programación JTAG. 9 /

10 Implementación de un SoC (continuación) 10 /

11 Implementación de un SoC (continuación) 11 /

12 SoC sin personalización up FPGA FPGA Board FLASH GPIO SDRAM Serial Port Ethernet I/O Connector I/O Connector 12 /

13 SOC - Diseño Puramente HW up FPGA FPGA Board FLASH GPIO Logic SDRAM Serial Port Ethernet I/O Connector I/O Connector 13 /

14 SOC - Diseño Embebido basado en PPC PowerPC PLB Bus FPGA FLASH Controller GPIO Controller SDRAM Controller UART Controller Ethernet Controller FPGA Board FLASH GPIO SDRAM Serial Port Ethernet I/O Connector I/O Connector 14 /

15 SOC - Codiseño HW/SW basado en PPC PowerPC FPGA FLASH Controller FPGA Board FLASH Card PLB Bus Logic UART Controller SDRAM Controller Serial Port Ethernet SDRAM I/O Connector I/O Connector 15 /

16 Diseño embebido en una FPGA (SoC) La implementación del SoC se realiza a través de la creación de un sistema embebido y uno o mas componentes de aplicación especifica sobre la FPGA utilizada. El sistema embebido desarrollado esta compuesto por un microprocesador (hard-core/soft-core), un conjunto de componentes de hardware necesarios para el funcionamiento del mismo y el sw de control necesario. Los componentes de aplicación especifica son independientes del sistema embebido y están implementados en el HW virgen de la FPGA 16 /

17 Diseño embebido en una FPGA (SoC) (continuación) Desarrollo del sistema embebido basado en microprocesador. Desarrollo de software de control. Desarrollo de arquitectura de hardware que soporte el sistema embebido Desarrollo del componente acelerador/aplicación especifica implementado en hardware. Desarrollo del canal de comunicación hardware/software. 17 /

18 Diseño embebido en una FPGA (SoC) (continuación) FPGA Hardware Virgen Microprocesador Comunicaciones HW-SW Componente de HW - Lógica Fija Componente de HW - Lógica Fija 18 /

19 Diseño embebido en una FPGA (SoC) (continuación) Se desarrollara una infraestructura de interconexión entre los bloques lógicos Buses propietarios como la familia CrossConnect de XILINX El procesador disponible en la FPGA se interconectara también utilizando la infraestructura de buses establecida. 19 /

20 Detalle de sistema embebido basado en PPC DSOCM BRAM Instruction RocketIO Dedicated Hard IP PowerPC 405 Core Data ISOCM BRAM DCR Bus Flexible Soft IP IBM CoreConnect on-chip bus standard PLB, OPB, and DCR Arbiter PLB Processor Local Bus Bus Bridge OPB On-Chip Peripheral Bus Arbiter Hi-Speed Peripheral e.g. Memory Controller GB E-Net UART GPIO On-Chip Peripheral Off-Chip Memory ZBT SRAM DDR SDRAM SDRAM Full system customization to meet performance, functionality, and cost goals Source: Xilinx 20 /

21 Detalle de sistema embebido basado en Microblaze BRAM Local Memory LocalLink FIFO Channels 0,1.32 Custom Functions Bus MicroBlaze 32-Bit RISC Core Custom Functions Arbiter UART I-Cache BRAM D-Cache BRAM OPB On-Chip Peripheral Bus 10/100 E-Net Configurable Sizes Possible in Virtex-II Pro Flexible Soft IP Bus Bridge Hi-Speed Peripheral On-Chip Peripheral Dedicated Hard IP Instruction PLB PowerPC 405 Core Data Processor Local Bus e.g. Memory Controller GB E-Net Arbiter Off-Chip Memory FLASH/SRAM Source: Xilinx 21 /

22 Motivación para utilizar un SO Las aplicaciones necesitan un entorno de ejecución. Portabilidad, interfaces standard Acceso controlado a archivos y dispositivos Multitarea preemptiva Memoria virtual (memoria protegida, paginacion, etc) Librerias compartidas Soporte de stack TCP/IP etc Soporte para integrar nuevos dispositivos de hardware. Framework para escribir drivers para distintos subsistemas Abstracciones de funcionalidades de drivers Soporte de módulos de extensión 22 /

23 Motivación para utilizar un SO (continuación) Caminos de ejecución 23 /

24 Componentes aceleradores en FPGA Dispositivos inherentemente paralelos Las operaciones computacionales se implementan espacialmente. Elimina el cuello de botella causado por la ejecución serial de las arquitecturas Von Neumman (procesadores actuales). Computación temporal (serial): Solo una operación computacional puede ser ejecutada a la vez. El procesador tiene que encargarse de traer datos o código antes de ejecutar la operación. Computación espacial: Distintos bloques de circuiteria programable procesan en paralelo distintas partes del algoritmo computacional. 24 /

25 Componentes aceleradores en FPGA (continuación) 2 y = Ax + Bx + C Temporal Computation Spatial Computation t1 t2 A B x A * * * + B C C + Y 25 /

26 Componentes aceleradores en FPGA (continuación) Los sistemas basados en procesador ofrecen posibilidad de implementar computación flexible pero al costo de minimizar la performance. Los sistemas basados en hardware no son óptimos para implementar computación de propósito general. Diseño único, no es practico diseñar/comprar una nueva maquina cada vez que corremos una aplicación diferente El diseño de hardware requiere un esfuerzo considerable. Barreras de costo para la implementación. Los sistemas reconfigurables ofrecen lo mejor de los mundos. Aplicación especifica con flexibilidad 26 /

27 Canal de comunicación entre componentes de hardware y software Se necesita establecer un mecanismo físico para poder comunicar los componentes de HW y SW En la arquitectura de XILINX: Se puede utilizar Memory Mapped I/O a través de algún BUS Se puede utilizar el controlador APU del procesador PowerPC para interfacear el hardware y el software 27 /

28 Temas Propuestos GNU LINUx - Overview de GNU Linux (Kernel y entorno GNU) - Overview del kernel y su arquitectura. Subsistemas. Interaccion entre userspace y kernelspace. Scheduler. Modos de booteo del kernel. - Userland. Entorno GNU. Toolchains de compilacion. - Compilacion del kernel. Configuracion de los dispositivos soportados. Configuracion de los subsistemas. - Codigo a desarrollar: Construccion de un driver basico. Modos de comunicacion entre el hardware y el software. Codigo de Interaccion con el MMU. Codigo de Interaccion con dispositivos de IO. Inspeccion de drivers avanzados. 28 /

29 Temas Propuestos (continuación) SISTEMAS EMBEBIDOS CON XILINX - FPGAs de Xilinx. Caracteristicas. Desarrollo de logica especifica y desarrollo de sistemas embebidos. Interaccion de sistemas embebidos con logica especifica. - Arquitectura de sistemas embebidos propietaria de xilinx: Procesadores disponibles, buses de interconexion, IP cores disponibles, etc. Modos de uso. - Herramientas de desarrollo para sistemas embebidos: EDK y ISE. Herramientas de desarrollo de IP cores utilizables en EDK: ImpulseC. - Desarrollo del hardware un sistema embebido. Alternativas basadas en procesador por hardware o procesador por software. Configuracion de controladores y IP cores a usar. Configuracion de mapas de memoria. Desarrollo de hardware dedicado que interactue con un bus propietario en VHDL. - Desarrollo de software para el sistema embebido. Compilacion y ejecucion sobre el sistema embebido. Herramientas de prueba y debuggeo. Comunicacion entre el hardware y el software. - Uso de Impact para grabar la platform FLASH y la FPGA. Utilizacion Basica de XMD 29 /

30 Temas Propuestos (continuación) DESARROLLO DE IP CORE PARA SISTEMA EMBEBIDO XILINX USANDO HERRAMIENTAS ESL - Herramientas ESL: ImpulseC, Matlab, etc. - Desarrollo hardware/software con ImpulseC. Primitavas de CSP. - Modelado de una aplicacion, caracterizacion mediante profiling y porting a ImpulseC. - Generacion de componentes de hardware y software. - Tecnicas de paralelizacion. Optimizacion y debuggeo del codigo obtenido. - Simulacion de hardware con ModelSIM. - Simulacion de software con GDB. - Creacion de IP Core con ImpulseC. Canales de comunicacion a utilizar. 30 /

31 Temas Propuestos (continuación) LINUX SOBRE SISTEMAS EMBEBIDOS DE XILINX - Customizacion de linux para que soporte el sistema embebido. - Soporte de canales de comunicacion hardware/software. - Modos de ejecucion de binarios ELF compilados para la arquitectura del sistema embebido. - Crosscompilacion de linux. Ejecucion sobre el sistema embebido. - Creacion de drivers que interactuen con componentes de hardware (Comunicacion Hardware/software) - Debuggeo del kernel y del sistema embebido. Uso de GDB, GDB Server y XMD. - Desarrollo de toolchain y herramientas de userspace usando buildroot. - Booteo del kernel a traves de RED. - Bootloader para el kernel usando uboot. - Optimizacion de performance de dispositivos de red. 31 /

32 Preguntas? Gracias! 32 /

APLICACIONES DE LA MICROELECTRÓNICA EN EL RADIO OBSERVATORIO DE JICAMARCA

APLICACIONES DE LA MICROELECTRÓNICA EN EL RADIO OBSERVATORIO DE JICAMARCA APLICACIONES DE LA MICROELECTRÓNICA EN EL RADIO OBSERVATORIO DE JICAMARCA Ramiro Yanque, et al. Area de Electronica e Instrumentacion Radio Observatorio de Jicamarca Instituto Geofisico del Peru Radio

Más detalles

Tipos de Dispositivos Controladores

Tipos de Dispositivos Controladores Tipos de Dispositivos Controladores PLC Allen Bradley Siemens Schneider OMRON PC & Software LabView Matlab Visual.NET (C++, C#) FPGAS Xilinx Altera Híbridos Procesador + FPGA (altas prestaciones) µcontrolador

Más detalles

DISPOSITIVOS DE LÓGICA PROGRAMABLES EN CAMPO (FPGA)

DISPOSITIVOS DE LÓGICA PROGRAMABLES EN CAMPO (FPGA) DISPOSITIVOS DE LÓGICA PROGRAMABLES EN CAMPO (FPGA) DIGITAL II ECA Departamento de Sistemas e Informática Escuela de Ingeniería Electrónica Rosa Corti 1 Preguntas a responder sobre FPGA Qué innovación

Más detalles

Dispositivos Lógicos Programables (FPGAs) Guillermo Güichal Emtech www.emtech.com.ar

Dispositivos Lógicos Programables (FPGAs) Guillermo Güichal Emtech www.emtech.com.ar Dispositivos Lógicos Programables (FPGAs) Guillermo Güichal Emtech www.emtech.com.ar Temario Introducción Circuitos Digitales FPGAs Flujo y Herramientas de Diseño Diseño para Síntesis Simulación Ejemplos

Más detalles

Desarrollo de sistemas embebidos en FPGAs. Diseño e incorporación de periféricos

Desarrollo de sistemas embebidos en FPGAs. Diseño e incorporación de periféricos Desarrollo de sistemas embebidos en FPGAs. Diseño e incorporación de periféricos Alejandro Perez, Francisco Gutierrez, Rodolfo Cavallero, Juan Contreras Centro Universitario de Desarrollo en Automación

Más detalles

Introducción a FPGAs. Contenido

Introducción a FPGAs. Contenido Introducción a FPGAs Dra. Claudia Feregrino cferegrino@inaoep.mx Contenido 1. FPGA 2. Arquitectura genérica 3. Celda lógica 4. Field Programmable 5. Cómo se programa un FPGA 6. Herramientas de diseño 7.

Más detalles

Introducción a los FPGAs y el Cómputo Reconfigurable Miguel Morales Sandoval INAOE, 2006

Introducción a los FPGAs y el Cómputo Reconfigurable Miguel Morales Sandoval INAOE, 2006 Introducción a los FPGAs y el Cómputo Reconfigurable Miguel Morales Sandoval INAOE, 2006 Qué son los FPGAs? Matriz de bloques lógicos configurables (CLB) y una matriz de interconexión. Los bloques lógicos

Más detalles

Linux embebido como herramienta para realizar reconfiguración parcial

Linux embebido como herramienta para realizar reconfiguración parcial HARDWARE RECONFIGURABLE - SISTEMAS EMBEBIDOS 1 Linux embebido como herramienta para realizar reconfiguración parcial Oscar David Sánchez, Carlos Iván Camargo Universidad Nacional de Colombia {odsanchezg,

Más detalles

El tamaño, estructura, número de bloques y la cantidad y conectividad de las conexiones varian en las distintas arquitecturas.

El tamaño, estructura, número de bloques y la cantidad y conectividad de las conexiones varian en las distintas arquitecturas. Que es una FPGA? Las FPGA s (Field Programmable Gate Array) Son dispositivos lógicos de propósito general programable por los usuarios, compuesto de bloques lógicos comunicados por conexiones programables.

Más detalles

Prácticas de Laboratorio de Linux Empotrado sobre Placas de Desarrollo XUPV2P

Prácticas de Laboratorio de Linux Empotrado sobre Placas de Desarrollo XUPV2P Prácticas de Laboratorio de Linux Empotrado sobre Placas de Desarrollo XUPV2P ANTONIO GARCÍA MOYA, ÁNGEL BARRIGA BARROS Departamento de Electrónica y Electromagnetismo. IMSE-CNM, CSIC - Universidad de

Más detalles

CONTROL DIGITAL PARA CONVERTIDOR MULTINIVEL ALIMENTADO CON ENERGÍA SOLAR. Anexo A: FPGA. Introducción

CONTROL DIGITAL PARA CONVERTIDOR MULTINIVEL ALIMENTADO CON ENERGÍA SOLAR. Anexo A: FPGA. Introducción Anexo A: FPGA Introducción Cuando se requiere del diseño de un sistema electrónico y surge la necesidad de implementar una parte con hardware dedicado son varias las posibilidades que hay. Una es un diseño

Más detalles

Palabras Clave: Vídeo en FPGA, Procesamiento en Tiempo Real RESUMEN

Palabras Clave: Vídeo en FPGA, Procesamiento en Tiempo Real RESUMEN Procesamiento de Vídeo en Tiempo Real Utilizando FPGA J. G. Velásquez-Aguilar, A. Zamudio-Lara Centro de Investigación en Ingeniería y Ciencias Aplicadas, Universidad Autónoma del Estado de Morelos, Cuernavaca,

Más detalles

Microblaze en diseño digital de altas prestaciones

Microblaze en diseño digital de altas prestaciones Microblaze en diseño digital de altas prestaciones Moreno V, González I, López-Buedo S, Gómez-Arribas FJ, Aracil J Escuela Politécnica Superior, UAM, Madrid, España, {victor.moreno, ivan.gonzalez, sergio.lopez-buedo,

Más detalles

Electrónica Digital II

Electrónica Digital II Electrónica Digital II M. C. Felipe Santiago Espinosa Aplicaciones de los FPLDs Octubre / 2014 Aplicaciones de los FPLDs Los primeros FPLDs se usaron para hacer partes de diseños que no correspondían a

Más detalles

Técnicas de Programación Hardware: CAD para FPGAs y CPLDs

Técnicas de Programación Hardware: CAD para FPGAs y CPLDs Técnicas de Programación Hardware: CAD para FPGAs y CPLDs Clase 4: FPGAs Por: Nelson Acosta & Daniel Simonelli UNICEN - Tandil - 1999 1 Implementación de Sistemas Procesador convencional. Economico, conjunto

Más detalles

Nombre de la asignatura: Clave de la asignatura: SIB-1307 1-4-5. Carrera:

Nombre de la asignatura: Clave de la asignatura: SIB-1307 1-4-5. Carrera: 1. Datos generales de la asignatura Nombre de la asignatura: Clave de la asignatura: Créditos(Ht-Hp_créditos): Carrera: Sistemas Embebidos SIB-1307 1-4-5 Ingeniería Electrónica 2. Presentación Caracterización

Más detalles

Instrumentación Electrónica con MicroprocesadorII: Procesadores Avanzados

Instrumentación Electrónica con MicroprocesadorII: Procesadores Avanzados Instrumentación Electrónica con MicroprocesadorII: Procesadores Avanzados Microprocesadores empotrados en FPGAs. Entorno de desarrollo de Xilinx Marta Portela García DISEÑO DE SISTEMAS EMPOTRADOS Sistemas

Más detalles

CAPITULO 5. DISPOSITIVOS DE LOGICA RECONFIGURABLE

CAPITULO 5. DISPOSITIVOS DE LOGICA RECONFIGURABLE CAPITULO 5. DISPOSITIVOS DE LOGICA RECONFIGURABLE Los FPGA fueron introducidos por Xilinx en la mitad de 1980. Ellos difieren de los CPLDs en la arquitectura, por su tecnología de almacenamiento, número

Más detalles

UNIVERSIDAD DE ANTIOQUIA DISEÑO DE SISTEMAS DIGITALES COMPLEJOS LABORATORIO 1 INTRODUCCIÓN AL MICROBLAZE Y AL ENTORNO EDK

UNIVERSIDAD DE ANTIOQUIA DISEÑO DE SISTEMAS DIGITALES COMPLEJOS LABORATORIO 1 INTRODUCCIÓN AL MICROBLAZE Y AL ENTORNO EDK UNIVERSIDAD DE ANTIOQUIA DISEÑO DE SISTEMAS DIGITALES COMPLEJOS LABORATORIO 1 INTRODUCCIÓN AL MICROBLAZE Y AL ENTORNO EDK OBJETIVO: Implementar un sistema embebido personalizado en la Spartan3e, utilizando

Más detalles

Instrumentación Electrónica con MicroprocesadorII: Procesadores Avanzados

Instrumentación Electrónica con MicroprocesadorII: Procesadores Avanzados Instrumentación Electrónica con MicroprocesadorII: Procesadores Avanzados Microprocesadores empotrados en FPGAs MicroBlaze TM. Descripción Hardware Marta Portela García INTRODUCCIÓN Por qué en FPGA? Mayores

Más detalles

Tema 1 Introducción. Arquitectura básica y Sistemas Operativos. Fundamentos de Informática

Tema 1 Introducción. Arquitectura básica y Sistemas Operativos. Fundamentos de Informática Tema 1 Introducción. Arquitectura básica y Sistemas Operativos Fundamentos de Informática Índice Descripción de un ordenador Concepto básico de Sistema Operativo Codificación de la información 2 1 Descripción

Más detalles

Encuesta sobre utilización de la microelectrónica en la Argentina

Encuesta sobre utilización de la microelectrónica en la Argentina Encuesta sobre utilización de la microelectrónica en la Argentina Los dispositivos microelectrónicos forman el corazón de todo sistema electrónico de nuestros días. En ellos los circuitos alcanzan las

Más detalles

picojava TM Características

picojava TM Características picojava TM Introducción El principal objetivo de Sun al introducir Java era poder intercambiar programas ejecutables Java entre computadoras de Internet y ejecutarlos sin modificación. Para poder transportar

Más detalles

Laboratorio de Diseño de Sistemas Digitales

Laboratorio de Diseño de Sistemas Digitales Proceso de Diseño Laboratorio de Diseño de Sistemas Digitales I Semestre 2008 Ing. Gabriela Ortiz L. Diseño Implementación Depuración Diseño: Concepto inicial. Cuál es la función que lleva a cabo el objeto?

Más detalles

Figura 1.4. Elementos que integran a la Tecnología de Información.

Figura 1.4. Elementos que integran a la Tecnología de Información. 1.5. Organización, estructura y arquitectura de computadoras La Gráfica siguiente muestra la descomposición de la tecnología de información en los elementos que la conforman: Figura 1.4. Elementos que

Más detalles

Unidad aritmética en coma flotante para sistemas autoreconfigurables dinámicamente sobre Spartan-3 basados en Microblaze.

Unidad aritmética en coma flotante para sistemas autoreconfigurables dinámicamente sobre Spartan-3 basados en Microblaze. Unidad aritmética en coma flotante para sistemas autoreconfigurables dinámicamente sobre Spartan-3 basados en Microblaze. Lumbiarres López R. 1, López García M. 1, Cantó Navarro E. 2, Ramos Lara R. 1 1

Más detalles

ENTORNO DE DESARROLLO PARA SOC'S BASADOS EN EL MICROPROCESADOR LEON2

ENTORNO DE DESARROLLO PARA SOC'S BASADOS EN EL MICROPROCESADOR LEON2 ENTORNO DE DESARROLLO PARA SOC'S BASADOS EN EL MICROPROCESADOR LEON2 E. Ostúa, J. Viejo, M. J. Bellido, J. Juan, A. Millán, P. Ruiz-de-Clavijo y D. Guerrero Instituto de Microelectrónica de Sevilla Centro

Más detalles

Capítulo 1 Introducción a la Computación

Capítulo 1 Introducción a la Computación Capítulo 1 Introducción a la Computación 1 MEMORIA PRINCIPAL (RAM) DISPOSITIVOS DE ENTRADA (Teclado, Ratón, etc) C P U DISPOSITIVOS DE SALIDA (Monitor, Impresora, etc.) ALMACENAMIENTO (Memoria Secundaria:

Más detalles

Introducción. Sistemas Operativos. Pedro Chávez Lugo mailto:pchavezl74@gmail.com. 23 de marzo de 2010

Introducción. Sistemas Operativos. Pedro Chávez Lugo mailto:pchavezl74@gmail.com. 23 de marzo de 2010 mailto:pchavezl74@gmail.com 23 de marzo de 2010 1 Introducción Que es un Sistema Operativo? Un sistema operativo es un programa que tiene la finalidad de simplificar el manejo y la utilización de la computadora.

Más detalles

GRADO EN INGENIERÍA ELECTRÓNICA Y AUTOMÁTICA INDUSTRIAL

GRADO EN INGENIERÍA ELECTRÓNICA Y AUTOMÁTICA INDUSTRIAL Sistemas Electrónicos Digitales Avanzados GRADO EN INGENIERÍA ELECTRÓNICA Y AUTOMÁTICA INDUSTRIAL PRÁCTICA FINAL GENERADOR AVANZADO DE FORMAS DE ONDA Dpto. Electrónica Curso 2013/2014 1. Introducción La

Más detalles

Capitulo V Administración de memoria

Capitulo V Administración de memoria Capitulo V Administración de memoria Introducción. Una de las tareas más importantes y complejas de un sistema operativo es la gestión de memoria. La gestión de memoria implica tratar la memoria principal

Más detalles

UNIVERSIDAD DE ORIENTE FACULTAD DE ICIENCIAS ECONOMICAS LAS REDES I. Licda. Consuelo Eleticia Sandoval

UNIVERSIDAD DE ORIENTE FACULTAD DE ICIENCIAS ECONOMICAS LAS REDES I. Licda. Consuelo Eleticia Sandoval UNIVERSIDAD DE ORIENTE FACULTAD DE ICIENCIAS ECONOMICAS LAS REDES I Licda. Consuelo Eleticia Sandoval OBJETIVO: ANALIZAR LAS VENTAJAS Y DESVENTAJAS DE LAS REDES DE COMPUTADORAS. Que es una red de computadoras?

Más detalles

Alternativas de implementación: Estilos

Alternativas de implementación: Estilos Alternativas de implementación: Estilos Alternativas de implementación: Estilos Alternativas de implementación: Estilos µprocesador INTEL 386: 3 estilos de layout Datapath: ALU 2-D arrays: Memoria Standard

Más detalles

Sistemas Embebidos 1º Cuatrimestre de 2015

Sistemas Embebidos 1º Cuatrimestre de 2015 Sistemas Embebidos 1º Cuatrimestre de 2015 Clase 11: Contenido Introducción : concepto y tecnologías Categorías de SPLDs CPLDs FPGAs Procesadores Soft-Core Prof: Sebastián Escarza Dpto. de Ciencias e Ingeniería

Más detalles

UTILIZACIÓN DE SOFTWARE LIBRE EN ASIGNATURAS DE INTRODUCCIÓN A LOS MICROPROCESADORES.

UTILIZACIÓN DE SOFTWARE LIBRE EN ASIGNATURAS DE INTRODUCCIÓN A LOS MICROPROCESADORES. UTILIZACIÓN DE SOFTWARE LIBRE EN ASIGNATURAS DE INTRODUCCIÓN A LOS MICROPROCESADORES. Manuel Domínguez, Antoni Mas Departamento Ingeniería Electrónica, ETSETB, Barcelona Universidad Politécnica de Cataluña

Más detalles

No se requiere que los discos sean del mismo tamaño ya que el objetivo es solamente adjuntar discos.

No se requiere que los discos sean del mismo tamaño ya que el objetivo es solamente adjuntar discos. RAIDS MODO LINEAL Es un tipo de raid que muestra lógicamente un disco pero se compone de 2 o más discos. Solamente llena el disco 0 y cuando este está lleno sigue con el disco 1 y así sucesivamente. Este

Más detalles

Redes de Altas Prestaciones

Redes de Altas Prestaciones Redes de Altas Prestaciones TEMA 3 Redes SAN -Alta disponibilidad -Sistemas Redundantes -Curso 2010 Redes de Altas Prestaciones - Indice Conceptos Componentes de un SAN Términos más utilizados Topología

Más detalles

CAPÍTULO 1 Instrumentación Virtual

CAPÍTULO 1 Instrumentación Virtual CAPÍTULO 1 Instrumentación Virtual 1.1 Qué es Instrumentación Virtual? En las últimas décadas se han incrementado de manera considerable las aplicaciones que corren a través de redes debido al surgimiento

Más detalles

Introducción a las FPGA

Introducción a las FPGA Introducción a las FPGA Introducción a la Microfabricación y las FPGA Instituto Balseiro 12 de Agosto 2013 Hoy veremos... Menú del Día Qué es una FPGA. Para qué se usan. Arquitecturas. Flujo de diseño.

Más detalles

Metodologías de diseño de hardware

Metodologías de diseño de hardware Capítulo 2 Metodologías de diseño de hardware Las metodologías de diseño de hardware denominadas Top-Down, basadas en la utilización de lenguajes de descripción de hardware, han posibilitado la reducción

Más detalles

Clase 20: Arquitectura Von Neuman

Clase 20: Arquitectura Von Neuman http://computacion.cs.cinvestav.mx/~efranco @efranco_escom efranco.docencia@gmail.com Estructuras de datos (Prof. Edgardo A. Franco) 1 Contenido Arquitectura de una computadora Elementos básicos de una

Más detalles

Linux embebido en FPGA para sistemas de monitoreo industrial. Ebedded linux on FPGA for industrial monitoring systems

Linux embebido en FPGA para sistemas de monitoreo industrial. Ebedded linux on FPGA for industrial monitoring systems Tipo de artículo: Artículo original Temática: Software libre Recibido: 15/01/2013 Aceptado: 1/03/2013 Linux embebido en FPGA para sistemas de monitoreo industrial Ebedded linux on FPGA for industrial monitoring

Más detalles

LÓGICA PROGRAMABLE. Introducción Simple PLDs Complex PLDs FPGAs. Dpto. Ingeniería Electrónica y Comunicaciones

LÓGICA PROGRAMABLE. Introducción Simple PLDs Complex PLDs FPGAs. Dpto. Ingeniería Electrónica y Comunicaciones Introducción Simple PLDs Complex PLDs FPGAs Diseño tradicional: basado en CIs estándar SSI/MSI Obtención de la función lógica Reducción a términos producto Minimización: Número de integrados Retardo de

Más detalles

Nicolás Zarco Arquitectura Avanzada 2 Cuatrimestre 2011

Nicolás Zarco Arquitectura Avanzada 2 Cuatrimestre 2011 Clusters Nicolás Zarco Arquitectura Avanzada 2 Cuatrimestre 2011 Introducción Aplicaciones que requieren: Grandes capacidades de cómputo: Física de partículas, aerodinámica, genómica, etc. Tradicionalmente

Más detalles

TAC: Controlador de auto-reconfiguración embebido para sistemas SoPC

TAC: Controlador de auto-reconfiguración embebido para sistemas SoPC TAC: Controlador de auto-reconfiguración embebido para sistemas SoPC Mikel Garay, Armando Astarloa, Jesús Lázaro, Aitzol Zuloaga y Jaime Jiménez Departamento de Electrónica y Telecomunicaciones, APERT

Más detalles

Prefacio...xiii Introducción... 1 Microprocesadores...1 Arquitectura Von Neumann...1 RISC vs CISC...2 Wait-states...3 Arquitectura Harvard...

Prefacio...xiii Introducción... 1 Microprocesadores...1 Arquitectura Von Neumann...1 RISC vs CISC...2 Wait-states...3 Arquitectura Harvard... 3/.0/0.43903 /4 3/.0/0.43903 /4 Prefacio...xiii Introducción... 1 Microprocesadores...1 Arquitectura Von Neumann...1 RISC vs CISC...2 Wait-states...3 Arquitectura Harvard...5 Modos de direccionamiento...6

Más detalles

PLACA 3PX1 PAPILIO LOADER

PLACA 3PX1 PAPILIO LOADER PLACA 3PX1 PAPILIO LOADER MANUAL DE USUARIO Viamonte 685-8000. Bahía Blanca. Buenos Aires. Argentina. +542914532918. Gallardo 855 8400. Bariloche - Río Negro. Argentina. +542944458554. www.emtech.com.ar

Más detalles

PROCESAMIENTO DIGITAL DE IMÁGENES MEDIANTE EL USO DE UN FPGA Y LENGUAJE VHDL

PROCESAMIENTO DIGITAL DE IMÁGENES MEDIANTE EL USO DE UN FPGA Y LENGUAJE VHDL PROCESAMIENTO DIGITAL DE IMÁGENES MEDIANTE EL USO DE UN FPGA Y LENGUAJE VHDL N. E. Chávez Rodríguez*, A. M. Vázquez Vargas** *Departamento de Computación **Departamento de Procesamiento Digital de Señales

Más detalles

FPGA (CAMPO DE MATRIZ DE PUERTAS PROGRAMABLES).

FPGA (CAMPO DE MATRIZ DE PUERTAS PROGRAMABLES). FPGA (CAMPO DE MATRIZ DE PUERTAS PROGRAMABLES). 1 1 FIELD PROGRAMMABLE GATE ARRAY Un FPGA (Field Programmable Gate Array) permite implementar cualquier circuito digital de aplicación específica. Las aplicaciones

Más detalles

DISEÑO DE SISTEMAS DIGITALES COMPLEJOS

DISEÑO DE SISTEMAS DIGITALES COMPLEJOS DISEÑO DE SISTEMAS DIGITALES COMPLEJOS LABORATORIO3: IP Catalog y Controlador de video VGA OBJETIVOS: Aprender a añadir módulos al bus PLB desde el IP Catalog. Desarrollar aplicaciones SW que permitan

Más detalles

Linux embebido en un soft-processor Microblaze

Linux embebido en un soft-processor Microblaze Linux embebido en un soft-processor Microblaze Claudio Aciti y Nelson Acosta INTIA/INCA - Fac. de Ciencias Exactas - Universidad Nacional del Centro de la Prov. de Bs As Pinto 399 - Tel/Fax : (02293) 43-9680

Más detalles

Arquitecturas de Computadoras II. Febrero 2013

Arquitecturas de Computadoras II. Febrero 2013 Arquitecturas de Computadoras II Febrero 2013 1 Sabes... 1. Cuál es la Arquitectura Von Neumann? 2. Qué es Programación? 3. Qué es un algoritmo? 4. Qué es un programa? 5. Qué es un sistema? 6. Materias

Más detalles

Version 3. Capítulo 9. Fundamentos de hardware avanzado para servidores

Version 3. Capítulo 9. Fundamentos de hardware avanzado para servidores Capítulo 9 Fundamentos de hardware avanzado para servidores Servidores para redes Un servidor es un computador en una red que es compartido por múltiples usuarios. El término servidor se refiere al hardware

Más detalles

Detección de Presencia Serie para la Identificación de Módulos de Memoria

Detección de Presencia Serie para la Identificación de Módulos de Memoria Detección de Presencia Serie para la Identificación de Módulos de Memoria MSc. Guillermo Alvarez Bestard Tec. Miguel A. Machirán Simón Instituto de Cibernética Matemática y Física, AENTA Ministerio de

Más detalles

Diseño de DSP en FPGA con System Generator y MATLab. Jesús Barba Romero (30/05/2012)

Diseño de DSP en FPGA con System Generator y MATLab. Jesús Barba Romero (30/05/2012) Diseño de DSP en FPGA con System Generator y MATLab Jesús Barba Romero (30/05/2012) Agenda Introducción a System Generator y MATLab Creación de un sistema DSP con Simulink Creación de un sistema DSP con

Más detalles

Sistemas de Computadoras Índice

Sistemas de Computadoras Índice Sistemas de Computadoras Índice Concepto de Computadora Estructura de la Computadora Funcionamiento de la Computadora Historia de las Computadoras Montando una Computadora Computadora Un sistema de cómputo

Más detalles

Arquitecturas GPU v. 2013

Arquitecturas GPU v. 2013 v. 2013 Stream Processing Similar al concepto de SIMD. Data stream procesado por kernel functions (pipelined) (no control) (local memory, no cache OJO). Data-centric model: adecuado para DSP o GPU (image,

Más detalles

SISTEMAS DE INFORMACIÓN II TEORÍA

SISTEMAS DE INFORMACIÓN II TEORÍA CONTENIDO: EL PROCESO DE DISEÑO DE SISTEMAS DISTRIBUIDOS MANEJANDO LOS DATOS EN LOS SISTEMAS DISTRIBUIDOS DISEÑANDO SISTEMAS PARA REDES DE ÁREA LOCAL DISEÑANDO SISTEMAS PARA ARQUITECTURAS CLIENTE/SERVIDOR

Más detalles

Laboratorio virtual para la programación de FPGAs

Laboratorio virtual para la programación de FPGAs Laboratorio virtual para la programación de FPGAs Joaquín Olivares, Alfonso Merino, José M. Palomares y Miguel A. Montijano Escuela Politécnica Superior, Universidad de Córdoba, España olivares@uco.es

Más detalles

I NTRODUCCIÓN 1. ORDENADOR E INFORMÁTICA

I NTRODUCCIÓN 1. ORDENADOR E INFORMÁTICA I. INTRODUCCIÓN 1. ORDENADOR E INFORMÁTICA 1.1. Informática Informática (Información Automática) es la ciencia y la técnica del tratamiento automatizado de la información mediante el uso de ordenadores.

Más detalles

VIRTUALIZACIÓN Virtualización es la creación de una versión virtual en base a un sistema anfitrión o host de: o Un sistema operativo. o Un servidor. o Un dispositivo de almacenamiento. orecursos de la

Más detalles

Soluciones innovadoras para optimizar su infraestructura TI. Virtualización con el sistema operativo i, PowerVM y Power Systems de IBM

Soluciones innovadoras para optimizar su infraestructura TI. Virtualización con el sistema operativo i, PowerVM y Power Systems de IBM Soluciones innovadoras para optimizar su infraestructura TI Virtualización con el sistema operativo i, PowerVM y Power Systems de IBM Características principales Tenga éxito en su negocio simplemente con

Más detalles

SINTESIS Y DESCRIPCIÓN DE CIRCUITOS DIGITALES UTILIZANDO VHDL ANTECEDENTES

SINTESIS Y DESCRIPCIÓN DE CIRCUITOS DIGITALES UTILIZANDO VHDL ANTECEDENTES ANTECEDENTES En los últimos diez años la industria electrónica ha tenido una gran evolución en el desarrollo de sistemas digitales; desde computadoras personales, sistemas de audio y vídeo hasta dispositivos

Más detalles

Global File System (GFS)...

Global File System (GFS)... Global File System (GFS)... Diferente a los sistemas de ficheros en red que hemos visto, ya que permite que todos los nodos tengan acceso concurrente a los bloques de almacenamiento compartido (a través

Más detalles

Linux en la tostadora. Una breve introducción al desarrollo de sistemas embebidos con GNU/Linux

Linux en la tostadora. Una breve introducción al desarrollo de sistemas embebidos con GNU/Linux Linux en la tostadora Una breve introducción al desarrollo de sistemas embebidos con GNU/Linux Linux en la tostadora Lisandro Damián Nicanor Pérez Meyer perezmeyer usando gmail.com, cepanet.com.ar y uns.edu.ar

Más detalles

FICHA PÚBLICA DEL PROYECTO

FICHA PÚBLICA DEL PROYECTO NUMERO DE PROYECTO: 181494 EMPRESA BENEFICIADA: Intel Tecnología de México, S.A. de C.V. TÍTULO DEL PROYECTO: Validación de tecnología "Sistema de un Chip", y procesadores de siguiente generación, diseño

Más detalles

TARJETA DE DESARROLLO CPLD

TARJETA DE DESARROLLO CPLD TARJETA DE DESARROLLO CPLD XC9572xl Serie 1 Características CPLD XC9572 xl vq64. o VQFP - 64 pines. o 52 pines I/O de usuario. o 5ns de retardo entre pines. o Frecuencia hasta 178MHz. o 72 macroceldas.

Más detalles

INTRODUCCIÓN. Que es un sistema operativo? - Es un programa. - Funciona como intermediario entre el usuario y los programas y el hardware

INTRODUCCIÓN. Que es un sistema operativo? - Es un programa. - Funciona como intermediario entre el usuario y los programas y el hardware INTRODUCCIÓN Que es un sistema operativo? - Es un programa. - Funciona como intermediario entre el usuario y los programas y el hardware INTRODUCCIÓN METAS: Brindar un entorno para que los usuarios puedan

Más detalles

Manual de instalación del Spooler fiscal

Manual de instalación del Spooler fiscal 1. Spooler Fiscal para Windows El Spooler Fiscal es un Redirector RS232 a TCP/IP. El Spooler Fiscal permite que cualesquiera de los puertos seriales RS232 en su PC sea accesible a través de una red TCP/IP.

Más detalles

Sistemas Operativos. Tema 1. Arquitectura Básica de los Computadores

Sistemas Operativos. Tema 1. Arquitectura Básica de los Computadores Sistemas Operativos. Tema 1 Arquitectura Básica de los Computadores http://www.ditec.um.es/so Departamento de Ingeniería y Tecnología de Computadores Universidad de Murcia Sistemas Operativos. Tema 1 Arquitectura

Más detalles

Capítulo 5. Cliente-Servidor.

Capítulo 5. Cliente-Servidor. Capítulo 5. Cliente-Servidor. 5.1 Introducción En este capítulo hablaremos acerca de la arquitectura Cliente-Servidor, ya que para nuestra aplicación utilizamos ésta arquitectura al convertir en un servidor

Más detalles

Introducción a Aplicaciones Industriales con LabVIEW FPGA y CompactRIO. Ing. Javier Olea Ing. De Campo Noreste de México National Instruments

Introducción a Aplicaciones Industriales con LabVIEW FPGA y CompactRIO. Ing. Javier Olea Ing. De Campo Noreste de México National Instruments Introducción a Aplicaciones Industriales con LabVIEW FPGA y CompactRIO Ing. Javier Olea Ing. De Campo Noreste de México National Instruments Familia de Productos Embebidos de LabVIEW Tecnología FPGA Interconexiones

Más detalles

Aplicación de la reconfigurabilidad dinámica de la FPGA Virtex de Xilinx *.

Aplicación de la reconfigurabilidad dinámica de la FPGA Virtex de Xilinx *. Aplicación de la reconfigurabilidad dinámica de la FPGA Virtex de Xilinx *. José Luis Camps, Vicente Herrero, Rafael Gadea, Joaquín Cerdà, Marcos Martínez, Ricardo Colom Universidad Politécnica de Valencia.

Más detalles

Especificaciones de Hardware, Software y Comunicaciones

Especificaciones de Hardware, Software y Comunicaciones Requisitos técnicos para participantes Especificaciones de Hardware, Software y Comunicaciones Versión Bolsa Nacional de Valores, S.A. Junio 2011 1 Tabla de Contenido 1. Introducción... 3 2. Glosario...

Más detalles

"Procesador Embebido Microblaze para FPGAs"

Procesador Embebido Microblaze para FPGAs "Procesador Embebido Microblaze para FPGAs" Estanislao Aguayo, Becario de Investigación. Departamento de Tecnología de Computadores, Escuela Politécnica Superior. UAM. Sumario Introduccion Microblaze Soft

Más detalles

INTRODUCCION. Ing. Camilo Zapata czapata@udea.edu.co Universidad de Antioquia

INTRODUCCION. Ing. Camilo Zapata czapata@udea.edu.co Universidad de Antioquia INTRODUCCION. Ing. Camilo Zapata czapata@udea.edu.co Universidad de Antioquia Qué es una Red? Es un grupo de computadores conectados mediante cables o algún otro medio. Para que? compartir recursos. software

Más detalles

INTRODUCCIÓN AL DISEÑO FPGA-DSP. Cristian Sisterna, MSc UNSJ-C7T

INTRODUCCIÓN AL DISEÑO FPGA-DSP. Cristian Sisterna, MSc UNSJ-C7T INTRODUCCIÓN AL DISEÑO FPGA-DSP Cristian Sisterna, MSc UNSJ-C7T AGENDA Introducción Bloques DSPs en ilinx FPGAs MatLab/Simulink Simulink ilinx System Generator Ejemplo de Diseño con SysGen 2 ALGORITMOS

Más detalles

MANUAL TÉCNICO DE IMPLEMENTACIÓN PROYECTO SOCIAL COMPUESCUELA. Elaborado por: Julián A. Hernández M.

MANUAL TÉCNICO DE IMPLEMENTACIÓN PROYECTO SOCIAL COMPUESCUELA. Elaborado por: Julián A. Hernández M. MANUAL TÉCNICO DE IMPLEMENTACIÓN PROYECTO SOCIAL COMPUESCUELA Elaborado por: Julián A. Hernández M. PONTIFICIA UNIVERSIDAD JAVERIANA CALI SANTIAGO DE CALI 2011 CONTENIDO Pág. INTRODUCCIÓN...3 1. ANÁLISIS

Más detalles

Componentes de la placa base

Componentes de la placa base 28/9/2015 Componentes de la placa base Inharú Quesada y Tatiana Rosales 2ºBach A 1. Componentes externos de la placa base Puerto Paralelo Un puerto es una interfaz que se halla integrada a la placa madre

Más detalles

Introducción a LabVIEW FPGA y CompactRIO

Introducción a LabVIEW FPGA y CompactRIO Introducción a LabVIEW FPGA y CompactRIO Familia de Productos Embebidos de LabVIEW Tecnología FPGA Interconexiones Programables Bloques Lógicos Bloques de E/S Importancia de FPGA en Sistemas Alta Confiabilidad

Más detalles

ACTIVIDADES TEMA 1. EL LENGUAJE DE LOS ORDENADORES. 4º E.S.O- SOLUCIONES.

ACTIVIDADES TEMA 1. EL LENGUAJE DE LOS ORDENADORES. 4º E.S.O- SOLUCIONES. 1.- a) Explica qué es un bit de información. Qué es el lenguaje binario? Bit es la abreviatura de Binary digit. (Dígito binario). Un bit es un dígito del lenguaje binario que es el lenguaje universal usado

Más detalles

Técnicas de Programación Hardware: CAD para FPGAs y CPLDs. Clase 1: Lógica Configurable

Técnicas de Programación Hardware: CAD para FPGAs y CPLDs. Clase 1: Lógica Configurable Técnicas de Programación Hardware: CAD para FPGAs y CPLDs Clase 1: Lógica Configurable Por: Nelson Acosta & Daniel Simonelli UNICEN - Tandil - 1999 1 Organización del curso Clases teórico/prácticas: 1

Más detalles

Sistemas Operativos. Curso 2013 Virtualización

Sistemas Operativos. Curso 2013 Virtualización Sistemas Operativos Curso 2013 Virtualización Agenda Introducción Requerimientos para la virtualización Virtualización de CPU Vritualización de la memoria Virtualización de la E/S Sistemas Operativos Modernos,

Más detalles

UNIVERSIDAD NACIONAL FEDERICO VILLARREAL FACULTAD DE INGENIERÍA ELECTRÓNICA E INFORMÁTICA SÍLABO

UNIVERSIDAD NACIONAL FEDERICO VILLARREAL FACULTAD DE INGENIERÍA ELECTRÓNICA E INFORMÁTICA SÍLABO SÍLABO ASIGNATURA: MICROELECTRÓNICA CÓDIGO: 8F0108 1. DATOS GENERALES 1.1. DEPARTAMENTO ACADÉMICO : Ing. Electrónica e Informática 1.2. ESCUELA PROFESIONAL : Ingeniería de Mecatrónica 1.3. CICLO DE ESTUDIOS

Más detalles

IMPLEMENTACIÓN DE ALGORITMO DE CONTROL FUZZY PI EN UN DISPOSITIVO DE LÓGICA PROGRAMABLE

IMPLEMENTACIÓN DE ALGORITMO DE CONTROL FUZZY PI EN UN DISPOSITIVO DE LÓGICA PROGRAMABLE IMPLEMENTACIÓN DE ALGORITMO DE CONTROL FUZZY PI EN UN DISPOSITIVO DE LÓGICA PROGRAMABLE Lya Velazco Molina, Universidad de la Habana Cuba lya@imre.oc.uh.cu Matilde Santos Peña, Universidad Complutense

Más detalles

Diseño de un sistema de adquisición de datos de un micrófono utilizando una FPGA

Diseño de un sistema de adquisición de datos de un micrófono utilizando una FPGA Diseño de un sistema de adquisición de datos de un micrófono utilizando una FPGA Experimental III: Introducción a la Microfabricación y FPGA - Instituto Balseiro Mauricio Tosi Diciembre de 2013 Resumen

Más detalles

Centro Universitario de Ciencias Exactas e Ingenierías DIVISION DE ELECTRONICA Y COMPUTACION

Centro Universitario de Ciencias Exactas e Ingenierías DIVISION DE ELECTRONICA Y COMPUTACION SISTEMA DE MONITOREO POR INTERNET CON ENVÍO DE IMÁGENES Ricardo Hernández Durán (Ingeniería en Comunicaciones y Electrónica) Gabriela Ramos Rosas (Licenciatura en Informática) Víctor Jiménez García (Ingeniería

Más detalles

Tema 5 Repertorios de instrucciones: Modos de direccionamiento y formato

Tema 5 Repertorios de instrucciones: Modos de direccionamiento y formato Tema 5 Repertorios de instrucciones: Modos de direccionamiento y formato Bibliografía: William Stallings Organización y Arquitectura de computadores 5ta. Edition. Editorial Pearson Educación.- Objetivos:

Más detalles

Abstracciones del computador y la Tecnología

Abstracciones del computador y la Tecnología Abstracciones del computador y la Tecnología Progreso en la tecnología de computadores Respaldado por la ley de Moore Hacer posibles las nuevas aplicaciones Computadores de los automóviles Teléfonos celulares

Más detalles

I2C. Ing. Pablo Martín Gomez pgomez@fi.uba.ar

I2C. Ing. Pablo Martín Gomez pgomez@fi.uba.ar I2C Ing. Pablo Martín Gomez pgomez@fi.uba.ar 1 Comunicaciones en un bus serie 2 Comunicaciones en un bus serie 3 I²C Velocidad 4 UART Universal Asynchronous Receiver Transmitter Estándar de comunicación

Más detalles

INSTRUMENTACIÓN AVANZADA Departamento de Ingeniería Eléctrica y Electromecánica Facultad de Ingeniería Universidad Nacional de Mar del Plata

INSTRUMENTACIÓN AVANZADA Departamento de Ingeniería Eléctrica y Electromecánica Facultad de Ingeniería Universidad Nacional de Mar del Plata Problema a resolver Ejercicio 2.1 Tomando el ejercicio 1.4 realizar los ajustes necesarios para que además de encenderse un LED en pantalla se encienda un LED físicamente sobre la placa PIC suministrada

Más detalles

HOY en día, los arreglos de compuertas

HOY en día, los arreglos de compuertas ENINV IE 2010 Encuentro de Investigación en Ingeniería Eléctrica Zacatecas, Zac, Marzo 25 26, 2010 Plataforma para la implementación de algoritmos de Procesamiento Digital de Imágenes en arquitecturas

Más detalles

Entorno de codiseño y cosimulación hardware-software basado en FPGA para la plataforma Arduino

Entorno de codiseño y cosimulación hardware-software basado en FPGA para la plataforma Arduino Entorno de codiseño y cosimulación hardware-software basado en FPGA para la plataforma Arduino J. M. Alcérreca, J. Castillo, J. I. Martínez josemaria@alcerreca.com, {javier.castillo, joseignacio.martinez}@urjc.es

Más detalles

Beneficios estratégicos para su organización. Beneficios. Características V.2.0907

Beneficios estratégicos para su organización. Beneficios. Características V.2.0907 Herramienta de inventario que automatiza el registro de activos informáticos en detalle y reporta cualquier cambio de hardware o software mediante la generación de alarmas. Beneficios Información actualizada

Más detalles

Controladores Centrales ICSLan Device Control Boxes

Controladores Centrales ICSLan Device Control Boxes Controladores Centrales ICSLan Device Control Boxes Los ICSLan Device Control Boxes son interfaces capaces de controlar casi cualquier dispositivo, incluyendo AV, climatización, iluminación y cortinas,

Más detalles

Instrumentación con Microcontroladores. Ing. Rodrigo Alejandro Gutiérrez Arenas 22/03/12 al 29/03/12

Instrumentación con Microcontroladores. Ing. Rodrigo Alejandro Gutiérrez Arenas 22/03/12 al 29/03/12 Instrumentación con Microcontroladores Ing. Rodrigo Alejandro Gutiérrez Arenas 22/03/12 al 29/03/12 Contenido Problemas relativos a los proyectos Introducción y motivación para utilizar a Arduino Entrada

Más detalles

Programación en LabVIEW para Ambientes Multinúcleo

Programación en LabVIEW para Ambientes Multinúcleo Programación en LabVIEW para Ambientes Multinúcleo Agenda Introducción al Multithreading en LabVIEW Técnicas de Programación en Paralelo Consideraciones de Tiempo Real Recursos Evolución de la Instrumentación

Más detalles

Curso Práctico de Sistemas Empotrados Basado en Placas de Desarrollo XUPV2P

Curso Práctico de Sistemas Empotrados Basado en Placas de Desarrollo XUPV2P IEEE-RITA Vol. 7, Núm. 4, Nov. 2012 231 Curso Práctico de Sistemas Empotrados Basado en Placas de Desarrollo XUPV2P Antonio García Moya y Angel Barriga Barros, Member, IEEE Title Practical Course of Embedded

Más detalles

5. Metodologías de diseño de un ASIC

5. Metodologías de diseño de un ASIC 5. Metodologías de diseño de un ASIC 5.1. Introducción 5.2. Gate Arrays 5.3. Standard Cells 5.4. Seas of Gates 5.5. Dispositivos programables FPGAs Dispositivos programables El diseño de circuitos integrados

Más detalles

FPGA embedded Soft and Hard IP cores

FPGA embedded Soft and Hard IP cores Microprocesadores para Comunicaciones FPGA embedded Soft and Hard IP cores Diciembre de 2013 Jaime Roberto Ticay Rivas Índice 1. Introducción... 3 2. IP Cores... 4 2.1 Soft cores... 4 2.2 Hard cores...

Más detalles