Arreglo de Compuertas Programables en el Campo. Field Programmable Gate Array (FPGA)

Tamaño: px
Comenzar la demostración a partir de la página:

Download "Arreglo de Compuertas Programables en el Campo. Field Programmable Gate Array (FPGA)"

Transcripción

1 Arreglo de Compuertas Programables en el Campo Field Programmable Gate Array (FPGA)

2 Introducción ASICs: Costo. Tiempo de Desarrollo. PLDs: Muy buena aceptacion. Pero muy chicos. CPLDs: Muy rapidos, pero todavía chicos. FPGAs: Xilinx Inc., FPGA 2010 Altera Inc. Lucent Technology Intel Corp. QuickLogic Lattice Semiconductors Vantis Actel Corp. Philips Semiconductors Motorola Semiconductors Cypress AMD Xilinx Sistemas Digitales II / Electrónica Digital II 2

3 Que es un FPGA? Logica y conexiones programables Programacion en circuito (ISP) Bloques dedicados a: Memoria Control de reloj Operaciones MAC, bloques DSP Procesadores embebidos Comunicacion serie de Gigabits/s Otros bloques especificos Sistemas Digitales II / Electrónica Digital II 3

4 Que es un FGPA? Hasta 1200 E/S. Hasta 40 estándares de E/S soportados. E/S Diferenciales Hasta Flips-Flops y Look-Up-Tables (LUTs) Soft-Coded Procesador, 8051, ARM3 PLL y DLL disponibles (2-12) por dispositivo. Hasta 550MHz. Impedancia de salida programable Muy usados en prototipos de ASICs debido a su alta densidad y su re-programabilidad. Ayudan a solucionar errores de diseño sin modificar el Printed Circuit Board (PCB). Sistemas Digitales II / Electrónica Digital II 4

5 Flujo de Trabajo con FPGA Back-end Tools (FPGA specific tools) Specifications Synthesis & Optimization VHDL Code / Sch Place & Route Compilation Timing Verification Simulation & Verification Front-end Tools (general purpose tools) FPGA Configuration Sistemas Digitales II / Electrónica Digital II 5

6 Estructura General de un FPGA Estructura y componentes de un FPGA de Xilinx Sistemas Digitales II / Electrónica Digital II 6

7 Estructura General de un FPGA Estructura y componentes de un FPGA de Altera Sistemas Digitales II / Electrónica Digital II 7

8 Similaridad entre FPGAs Sistemas Digitales II / Electrónica Digital II 8

9 Vista interna de un FPGA (Spartan 3) Sistemas Digitales II / Electrónica Digital II 9

10 Familia del Virtex II Sistemas Digitales II / Electrónica Digital II 10

11 Celda de Configuración del FPGA Elemento básico no-lógico Determina la configuración de cada elemento lógico Determina la configuración de los elementos de ruteo e interconexiones Sistemas Digitales II / Electrónica Digital II 11

12 Celdas de Configuración del FPGA Tipos de Celdas SRAM Anti-Fuse Flash Flash y SRAM Sistemas Digitales II / Electrónica Digital II 12

13 Celda de Configuración Tipo SRAM La configuración de los elementos lógicos y los de ruteo e interconexión son almacenados en celdas SRAMs Ventajas: Proceso de fabricación estándar Costos muy bajos Proceso súper-comprobado Alto rendimiento Infinitamente reprogramable Programable en circuito (In System Programable) Rápida y fácil actualización Sistemas Digitales II / Electrónica Digital II 13

14 Celda de Configuración tipo SRAM Desventajas: Celda volátil Simple glitch en Vcc desconfigura el FPGA Retardos en ruteo largos debido al retardo de la celda SRAM Necesidad de una memoria de configuración externa Tiempo de configuración lento ~500ms Inseguridad debido a la conexión FPGA-Memoria de configuración que puede ser leída Sistemas Digitales II / Electrónica Digital II 14

15 Celda de Configuración Tipo Anti-Fuse La configuración de los elementos lógicos y los de ruteo e interconexión son almacenados en celdas Anti-Fuse (ACTEL) Sistemas Digitales II / Electrónica Digital II 15

16 Celda de Configuración Tipo Anti-Fuse Ventajas: No es volátil Retardos de conexiones de ruteo son pequeños No sensibles a bombardeo de partículas iónicas Muy usados en sistemas espaciales Sistemas Digitales II / Electrónica Digital II 16

17 Celda de Configuración Tipo Anti-Fuse Desventajas: Proceso de fabricación específico Costos elevados One-Time-Programmable (OTP) Proceso de verificacion muy largo y riguroso Proceso muy caro No sensibles a bombardeo de partículas iónicas Muy usados en sistemas espaciales Sistemas Digitales II / Electrónica Digital II 17

18 Celda de Configuración Tipo Flash La configuración de los elementos lógicos y los de ruteo e interconexión son almacenados en celdas Flash Sistemas Digitales II / Electrónica Digital II 18

19 Celda de Configuración Tipo Flash-SRAM Ventajas: Prácticamente no es volátil Tiempo de configuración es bastante bajo (~<1ms) Se pueden configurar solo las celdas SRAM Durante el proceso de debug o prototipo No se necesita una memoria de configuración externa Menos espacio en el PCB Sistema no vulnerable Sistema seguro Sistemas Digitales II / Electrónica Digital II 19

20 Celda de Configuración Tipo Flash-SRAM Desventajas: FPGA es caro Proceso se esta haciendo mas común últimamente Sistemas Digitales II / Electrónica Digital II 20

21 Celda de Configuración Tipo Flash-SRAM Las celdas Flash se usan para guardar los datos de configuración del FPGA Las celdas SRAM para la configuración de los elementos lógicos y los de ruteo e interconexión Cuando de alimenta el FPGA, las celdas SRAM se configuran en forma casi instantanea desde las celdas Flash. Sistemas Digitales II / Electrónica Digital II 21

22 Celda de Configuración Tipo Flash Ventajas: No es volátil Retardos de conexiones de ruteo son pequeños Sensibilidad baja a bombardeo de partículas iónicas Usados en sistemas espaciales Sistemas Digitales II / Electrónica Digital II 22

23 Celda de Configuración Tipo Flash Desventajas: FPGA es bastante caro Proceso se esta haciendo mas común últimamente Proceso de reconfiguración bastante largo (~3-5 seg) Sistemas Digitales II / Electrónica Digital II 23

24 Comparación Tipos de Celdas SRAM Anti_fuse Flash Velocidad Más lenta Mejor Más lenta Potencia Varía/Peor Segunda Mejor Mejor Densidad Mejor Segunda Mejor Media Tolerancia a la Radiación Peor Mejor Media Tamaño celda ruteo 1 1/10 1/7 Memoria Externa Si No No Reprogramable Si No Si Sistemas Digitales II / Electrónica Digital II 24

25 Transicion FPGA a ASIC Producciones de grandes volumenes, /Y HardCopy Altera EasyPath - Xilinx Arquitectura similar al FPGA pero no programable! Bajo Costo Baja Potencia(*) (*) Igloo Sistemas Digitales II / Electrónica Digital II 25

26 Arquitectura de los FPGAs Arquitectura en general es similar para FPGAs de diferentes fabricantes. Aunque cada fabricante diga que su arquitectura es la mejor.... Para diferenciarse cada fabricante ofrece.. El mas beneficiado: El usuario final Sistemas Digitales II / Electrónica Digital II 26

27 Arquitectura de los FPGAs Se verá en detalla la arquitectura de los FPGAs de Xilinx Spartan Medio rendimiento Barato Virtex 2-2P Alto rendimiento Caro Sistemas Digitales II / Electrónica Digital II 27

28 Arquitectura de los FPGAs Sistemas Digitales II / Electrónica Digital II 28

29 Bloque Lógico Configurable (CLB) CLB Sistemas Digitales II / Electrónica Digital II 29

30 Bloque Lógico Configurable (CLB) Sistemas Digitales II / Electrónica Digital II 30

31 Bloque Lógico Configurable - SLICEL Dos LUTs Dos flip-flops Cuatro salidas Dos combinacionales Dos registradas Entradas de control para f-fs Entradas para la LUTs E/S para la cadena de acarreo Sistemas Digitales II / Electrónica Digital II 31

32 Tabla de Búsqueda Look-up Table (LUT) Generador de Funciones=LUT A B C D Z Proceso transparente para el disenador Sistemas Digitales II / Electrónica Digital II 32

33 Tabla de Búsqueda Look-up Table (LUT) F5Mux F6Mux FiMux F7Mux F8Mux Sistemas Digitales II / Electrónica Digital II 33

34 Elementos de Almacenamiento del SLICE Sistemas Digitales II / Electrónica Digital II 34

35 Lógica de Acarreo (Carry In- Carry Out) Sistemas Digitales II / Electrónica Digital II 35

36 SLICEL/M y sus Componentes Sistemas Digitales II / Electrónica Digital II 36

37 Detalle de un SLICEM Sistemas Digitales II / Electrónica Digital II 37

38 Virtex II Detalle de Mitad del Slice Sistemas Digitales II / Electrónica Digital II 38

39 Vista de un CLB real Sistemas Digitales II / Electrónica Digital II 39

40 Detalle del Bloque E/S (IOB) Sistemas Digitales II / Electrónica Digital II 40

41 Bloque E/S real Sistemas Digitales II / Electrónica Digital II 41

42 Estandares de E/S Soportados (Spartan 3) Estandard Descripción Uso Buffer Entrada Buffer Salida LVTTL Low-Voltage TTL Propósito general 3.3V LVTTL Push-pull LVCMOS Low-Voltage CMOS Propósito general 3.3V, 2.5V, 1.8V, 1.5V CMOS Push-pull PCI Peripheral Component Interconnect Bus PCI LVTTL Push-pull GTL Gunning Transceiver Logic Bus alta velocidad, backplane V REF Open Drain GTL+ GTL Plus Intel Pentium Pro V REF Open Drain HSTL High Speed Transceiver Logic Interface con SRAM V REF Push-pull SSTL3 Stub Series Terminated Logic 3.3V SRAM/SDRAM V REF Push-pull SSTL2 Stub Series Terminated Logic 2.5V SRAM/SDRAM V REF Push-pull SSTL18 Stub Series Terminated Logic 1.8V SRAM/SDRAM V REF Push-pull Estandares Diferenciales LVDS Low-Voltage Differential Signaling High speed interface Diferencial Diferencial BLVDS Bus LVDS Multipoint LVDS Diferencial Diferencial LVPECL Low Voltage Positive ECL High-speed clocks Diferencial Diferencial LDT Lightning Data Transport Bidireccional serie/paralelo (Hyper Transport) Diferencial Diferencial Mini-LVDS Mini-LVDS Flat Panel Displays Diferencial Diferencial LVDSExt Extensión de LVDS Hard Drive interface Diferencial Diferencial RSDS Reduced Swing Differencial Signaling DVI/HDMI Diferencial Diferencial Sistemas Digitales II / Electrónica Digital II 42

43 Bancos de E/S Bancos E/S Virtex II Sistemas Digitales II / Electrónica Digital II 43

44 Bloques de Memoria (BRAM) Sistemas Digitales II / Electrónica Digital II 44

45 Opciones de Configuración de Memoria Sistemas Digitales II / Electrónica Digital II 45

46 Bloques de Memoria (BRAM) Diferentes configuraciones de relación datos/direcciones que se pueden implementar en los BRAMs Kx4 8Kx Kx Kx Sistemas Digitales II / Electrónica Digital II 46

47 Bloques de Memoria (BRAM) Principales aplicaciones de los BRAM: Almacenamiento de programas para procesadores embebidos en el FPGA Rd/Wr variables durante cálculos matemáticos, por ej. Coeficientes para filtros FIR Buffers circulares Registros de desplazamiento o muy largos o muy anchos Líneas de retardo Sistemas Digitales II / Electrónica Digital II 47

48 Bloques de Memoria (BRAM)- Cont. Principales aplicaciones de los BRAM: Realización de MEF usando técnicas de microprogramación Contadores muy largos y rápidos Memorias Direccionables por Contenido (CAM) de alto rendimiento de Rd/Wr Almacenamiento de formas de onda o tablas de funciones trigonométricas para generar salidas tipo Direct Digital Synthesis (DDS) (Síntesis Digital Directa) Sistemas Digitales II / Electrónica Digital II 48

49 Bloques DSP P = A x B 36 = 18 x 18 Pipelining (opcional) Sistemas Digitales II / Electrónica Digital II 49

50 Bloque DSP Avanzado Virtex-5 Sistemas Digitales II / Electrónica Digital II 50

51 Interconexiones - Ruteo Sistemas Digitales II / Electrónica Digital II 51

52 Interconexiones - Ruteo Transistor de Paso Y 0 Y M PIP Sistemas Digitales II / Electrónica Digital II 52

53 Interconexiones - Ruteo Sistemas Digitales II / Electrónica Digital II 53

54 Interconexiones - Ruteo Sistemas Digitales II / Electrónica Digital II 54

55 Interconexiones - Ruteo Sistemas Digitales II / Electrónica Digital II 55

56 Interconexiones - Ruteo Sistemas Digitales II / Electrónica Digital II 56

57 Interconexiones - Ruteo Sistemas Digitales II / Electrónica Digital II 57

58 Generacion de Reloj Digital Clock Manager Bloque dedicado exclusivamente a funciones de control y generación de señal de reloj para la lógica interna del FPGA CLKIN CLK0 CLKFB CLK2X DCM CLK2X180 CLKDIV CLKFX CLKFX180 Sistemas Digitales II / Electrónica Digital II 58

59 Generación de Reloj Las principales funciones del DCM se pueden resumir en: Eliminar el sesgo del reloj (clock skew), ya sea dentro del FPGA o con componentes externos Producir corrimiento de fase (Phase shifting) de una señal de reloj, ya sea por una fracción del periodo de reloj o por incrementos fijos Multiplicar o dividir la frecuencia de entrada del reloj, generando una frecuencia completamente nueva Sistemas Digitales II / Electrónica Digital II 59

60 Generación de Reloj Las principales funciones del DCM se pueden resumir en: Acondicionar la señal de entrada del reloj, asegurando un reloj limpio, con un ciclo de trabajo del 50% Amplificar de nuevo (rebuffer) una señal de reloj, normalmente para eliminar el sesgo (deskew) Convertir la señal de reloj de entrada a un estándar diferente, por ejemplo, de LVPECL a LVTTL Sistemas Digitales II / Electrónica Digital II 60

61 Ruteo de Reloj Dedicado H G F E DCM DCM H G H G F E D C F E D C B A B A DCM DCM D C B A Sistemas Digitales II / Electrónica Digital II 61

62 Ruteo de Reloj Dedicado Ejemplo de Ruteo de reloj dedicado - Applicacion real Sistemas Digitales II / Electrónica Digital II 62

63 Aplicaciones con DCM Correción de Skew D Other Device on the board Sistemas Digitales II / Electrónica Digital II 63

64 Aplicaciones con DCM Correción de Skew D Other Device on the board A) Esquema de configuración de los DCMs B) Alineamiento ideal del reloj C) Retardando el reloj, parece un adelantamiento Sistemas Digitales II / Electrónica Digital II 64

65 Configuración del FPGA Master Mode Sistemas Digitales II / Electrónica Digital II 65

66 Configuración del FPGA Slave Mode Sistemas Digitales II / Electrónica Digital II 66

67 Vista interna de un FPGA (Spartan 3) Sistemas Digitales II / Electrónica Digital II 67

68 Xilinx Virtex-5 Applications Sistemas Digitales II / Electrónica Digital II Xilinx XUP-V5 (UNSJ) 68

69 ALICE Experiment Time Projection Chamber Optical splitters Inner Tracking System Silicon Strip detector Silicon Drift detector Silicon Pixel Detector Pixel Trigger crate Sistemas Digitales II / Electrónica Printed with permission - A. Kluge, G. Aglieri Rinella Digital II 69

70 ALICE Pixel Trigger Crate Sistemas Digitales II / Electrónica Digital II 70

Arreglo de Compuertas Programables en el Campo. Field Programmable Gate Array (FPGA)

Arreglo de Compuertas Programables en el Campo. Field Programmable Gate Array (FPGA) Arreglo de Compuertas Programables en el Campo Field Programmable Gate Array (FPGA) Introducción ASICs: Costo. Tiempo de Desarrollo. PLDs: Muy buena aceptacion. Pero muy chicos. CPLDs: Muy rapidos, pero

Más detalles

Arreglo de Compuertas Programables en el Campo. Field Programmable Gate Array (FPGA)

Arreglo de Compuertas Programables en el Campo. Field Programmable Gate Array (FPGA) Arreglo de Compuertas Programables en el Campo Field Programmable Gate Array (FPGA) Introducción ASICs: Costo. Tiempo de Desarrollo. PLDs: Muy buena aceptación. Pero muy chicos. CPLDs: Muy rápidos, pero

Más detalles

FIELD PROGRAMMABLE GATE ARRAYS (FPGAS)

FIELD PROGRAMMABLE GATE ARRAYS (FPGAS) FIELD PROGRAMMABLE GATE ARRAYS (FPGAS) Cátedra: Sistemas Digitales II / Electrónica Digital II Autor: Cristian Sisterna, MSc Tabla de contenido 1. Introducción... 1 2. Principales Características de un

Más detalles

CAPITULO 5. DISPOSITIVOS DE LOGICA RECONFIGURABLE

CAPITULO 5. DISPOSITIVOS DE LOGICA RECONFIGURABLE CAPITULO 5. DISPOSITIVOS DE LOGICA RECONFIGURABLE Los FPGA fueron introducidos por Xilinx en la mitad de 1980. Ellos difieren de los CPLDs en la arquitectura, por su tecnología de almacenamiento, número

Más detalles

Dispositivos Lógicos Programables (FPGAs) Guillermo Güichal Emtech www.emtech.com.ar

Dispositivos Lógicos Programables (FPGAs) Guillermo Güichal Emtech www.emtech.com.ar Dispositivos Lógicos Programables (FPGAs) Guillermo Güichal Emtech www.emtech.com.ar Temario Introducción Circuitos Digitales FPGAs Flujo y Herramientas de Diseño Diseño para Síntesis Simulación Ejemplos

Más detalles

Introducción a los FPGAs y el Cómputo Reconfigurable Miguel Morales Sandoval INAOE, 2006

Introducción a los FPGAs y el Cómputo Reconfigurable Miguel Morales Sandoval INAOE, 2006 Introducción a los FPGAs y el Cómputo Reconfigurable Miguel Morales Sandoval INAOE, 2006 Qué son los FPGAs? Matriz de bloques lógicos configurables (CLB) y una matriz de interconexión. Los bloques lógicos

Más detalles

Dispositivos Lógicos Programables. Sistemas Digitales II / Electronica Digital II

Dispositivos Lógicos Programables. Sistemas Digitales II / Electronica Digital II Dispositivos Lógicos Programables (PLDs) Dispositivos Logicos Programables (PLDs) Dispositivos Programables Simples (SPLD) Dispositivos iti Programmables Complejos (CPLD) Arreglo de Compuertas Programables

Más detalles

Técnicas de Programación Hardware: CAD para FPGAs y CPLDs

Técnicas de Programación Hardware: CAD para FPGAs y CPLDs Técnicas de Programación Hardware: CAD para FPGAs y CPLDs Clase 4: FPGAs Por: Nelson Acosta & Daniel Simonelli UNICEN - Tandil - 1999 1 Implementación de Sistemas Procesador convencional. Economico, conjunto

Más detalles

CONTROL DIGITAL PARA CONVERTIDOR MULTINIVEL ALIMENTADO CON ENERGÍA SOLAR. Anexo A: FPGA. Introducción

CONTROL DIGITAL PARA CONVERTIDOR MULTINIVEL ALIMENTADO CON ENERGÍA SOLAR. Anexo A: FPGA. Introducción Anexo A: FPGA Introducción Cuando se requiere del diseño de un sistema electrónico y surge la necesidad de implementar una parte con hardware dedicado son varias las posibilidades que hay. Una es un diseño

Más detalles

DISPOSITIVOS DE LÓGICA PROGRAMABLES EN CAMPO (FPGA)

DISPOSITIVOS DE LÓGICA PROGRAMABLES EN CAMPO (FPGA) DISPOSITIVOS DE LÓGICA PROGRAMABLES EN CAMPO (FPGA) DIGITAL II ECA Departamento de Sistemas e Informática Escuela de Ingeniería Electrónica Rosa Corti 1 Preguntas a responder sobre FPGA Qué innovación

Más detalles

Introducción a FPGAs. Contenido

Introducción a FPGAs. Contenido Introducción a FPGAs Dra. Claudia Feregrino cferegrino@inaoep.mx Contenido 1. FPGA 2. Arquitectura genérica 3. Celda lógica 4. Field Programmable 5. Cómo se programa un FPGA 6. Herramientas de diseño 7.

Más detalles

Alternativas de implementación: Estilos

Alternativas de implementación: Estilos Alternativas de implementación: Estilos Alternativas de implementación: Estilos Alternativas de implementación: Estilos µprocesador INTEL 386: 3 estilos de layout Datapath: ALU 2-D arrays: Memoria Standard

Más detalles

Lógica Estándar. Compuertas lógicas, flip flop, decodificadores, disponibles en chips SSI y MSI. No son una buena solución de diseños grandes.

Lógica Estándar. Compuertas lógicas, flip flop, decodificadores, disponibles en chips SSI y MSI. No son una buena solución de diseños grandes. 2 Lógica Estándar Compuertas lógicas, flip flop, decodificadores, disponibles en chips SSI y MSI. No son una buena solución de diseños grandes. Microprocesadores / DSP Enfoque distinto para diseño de sistemas

Más detalles

1.1. Tecnologías de diseño de circuitos integrados

1.1. Tecnologías de diseño de circuitos integrados 1.1. Tecnologías de diseño de circuitos integrados Durante la década de los 80, varias compañías intentaron resolver el viejo compromiso de complejidad versus estandarización. Por un lado se tenía la opción

Más detalles

Dispositivos lógicos programables (PLDs(

Dispositivos lógicos programables (PLDs( Dispositivos lógicos programables (PLDs( PLDs) SPLDs (Dispositivos lógicos programables simples) Estructuras lógicas basadas en planos AND-OR CPLDs (Dispositivos lógicos programables complejos) Combinan

Más detalles

El tamaño, estructura, número de bloques y la cantidad y conectividad de las conexiones varian en las distintas arquitecturas.

El tamaño, estructura, número de bloques y la cantidad y conectividad de las conexiones varian en las distintas arquitecturas. Que es una FPGA? Las FPGA s (Field Programmable Gate Array) Son dispositivos lógicos de propósito general programable por los usuarios, compuesto de bloques lógicos comunicados por conexiones programables.

Más detalles

Laboratorio 4: Uso de una FPGA

Laboratorio 4: Uso de una FPGA Laboratorio 4: Uso de una FPGA Objetivos: Conocer y comprender la estructura interna de una FPGA y su tarjeta de desarrollo que será usada en el laboratorio, y los cuidados y recomendaciones para evitar

Más detalles

FPGA (CAMPO DE MATRIZ DE PUERTAS PROGRAMABLES).

FPGA (CAMPO DE MATRIZ DE PUERTAS PROGRAMABLES). FPGA (CAMPO DE MATRIZ DE PUERTAS PROGRAMABLES). 1 1 FIELD PROGRAMMABLE GATE ARRAY Un FPGA (Field Programmable Gate Array) permite implementar cualquier circuito digital de aplicación específica. Las aplicaciones

Más detalles

UNIDAD 5 Arquitectura FPGA

UNIDAD 5 Arquitectura FPGA 22 UNIDAD 5 Arquitectura FPGA 5.1 Fundamentos Teóricos. Un FPGA (Field Programmable Gate Array - Arreglo de Compuertas Programable en Campo) es, al igual que un PLD y un CPLD, un ASIC programable, pero

Más detalles

Sistemas Embebidos 1º Cuatrimestre de 2015

Sistemas Embebidos 1º Cuatrimestre de 2015 Sistemas Embebidos 1º Cuatrimestre de 2015 Clase 11: Contenido Introducción : concepto y tecnologías Categorías de SPLDs CPLDs FPGAs Procesadores Soft-Core Prof: Sebastián Escarza Dpto. de Ciencias e Ingeniería

Más detalles

Introducción a las FPGA

Introducción a las FPGA Introducción a las FPGA Introducción a la Microfabricación y las FPGA Instituto Balseiro 12 de Agosto 2013 Hoy veremos... Menú del Día Qué es una FPGA. Para qué se usan. Arquitecturas. Flujo de diseño.

Más detalles

LÓGICA PROGRAMABLE. Introducción Simple PLDs Complex PLDs FPGAs. Dpto. Ingeniería Electrónica y Comunicaciones

LÓGICA PROGRAMABLE. Introducción Simple PLDs Complex PLDs FPGAs. Dpto. Ingeniería Electrónica y Comunicaciones Introducción Simple PLDs Complex PLDs FPGAs Diseño tradicional: basado en CIs estándar SSI/MSI Obtención de la función lógica Reducción a términos producto Minimización: Número de integrados Retardo de

Más detalles

Orientado a reducir costes en el proceso de fabricación disminuyendo el número de pasos de fabricación

Orientado a reducir costes en el proceso de fabricación disminuyendo el número de pasos de fabricación ESTILOS DE IMPLEMENTACIÓN & METODOLOGIAS DE DISEÑO SEMICUSTOM. Diseño basado en Arrays Orientado a reducir costes en el proceso de fabricación disminuyendo el número de pasos de fabricación CONTRAPARTIDA

Más detalles

5. Metodologías de diseño de un ASIC

5. Metodologías de diseño de un ASIC 5. Metodologías de diseño de un ASIC 5.1. Introducción 5.2. Gate Arrays 5.3. Standard Cells 5.4. Seas of Gates 5.5. Dispositivos programables FPGAs Dispositivos programables El diseño de circuitos integrados

Más detalles

Palabras Clave: Vídeo en FPGA, Procesamiento en Tiempo Real RESUMEN

Palabras Clave: Vídeo en FPGA, Procesamiento en Tiempo Real RESUMEN Procesamiento de Vídeo en Tiempo Real Utilizando FPGA J. G. Velásquez-Aguilar, A. Zamudio-Lara Centro de Investigación en Ingeniería y Ciencias Aplicadas, Universidad Autónoma del Estado de Morelos, Cuernavaca,

Más detalles

SINTESIS Y DESCRIPCIÓN DE CIRCUITOS DIGITALES UTILIZANDO VHDL ANTECEDENTES

SINTESIS Y DESCRIPCIÓN DE CIRCUITOS DIGITALES UTILIZANDO VHDL ANTECEDENTES ANTECEDENTES En los últimos diez años la industria electrónica ha tenido una gran evolución en el desarrollo de sistemas digitales; desde computadoras personales, sistemas de audio y vídeo hasta dispositivos

Más detalles

Laboratorio de Diseño de Sistemas Digitales

Laboratorio de Diseño de Sistemas Digitales Proceso de Diseño Laboratorio de Diseño de Sistemas Digitales I Semestre 2008 Ing. Gabriela Ortiz L. Diseño Implementación Depuración Diseño: Concepto inicial. Cuál es la función que lleva a cabo el objeto?

Más detalles

Introducción a VHDL. Sistemas digitales UTM-2006 JJVS

Introducción a VHDL. Sistemas digitales UTM-2006 JJVS Introducción a VHDL Sistemas digitales UTM-2006 JJVS Surgimiento de VHDL Necesidad de nuevos métodos ya que los clásicos (esquemáticos), llegan a ser ineficientes en diseños de altas escalas de integración.

Más detalles

Metodologías de diseño de hardware

Metodologías de diseño de hardware Capítulo 2 Metodologías de diseño de hardware Las metodologías de diseño de hardware denominadas Top-Down, basadas en la utilización de lenguajes de descripción de hardware, han posibilitado la reducción

Más detalles

Dispositivos Lógicos Programables

Dispositivos Lógicos Programables Dispositivos Lógicos Programables Luis Entrena, Celia López, Mario García, Enrique San Millán Universidad Carlos III de Madrid 1 Indice Tecnologías de implementación de circuitos programables Circuitos

Más detalles

Field Programmable Gate Array. Introducción a FPGA

Field Programmable Gate Array. Introducción a FPGA 1 Field Programmable Gate Array Introducción a FPGA Microcontroladores vs FPGA Microcontroladores Ejecutan un programa escrito en C o Asembler Programa se ejecuta secuencialmente Normalmente requiere mutliples

Más detalles

INTRODUCCIÓN AL DISEÑO FPGA-DSP. Cristian Sisterna, MSc UNSJ-C7T

INTRODUCCIÓN AL DISEÑO FPGA-DSP. Cristian Sisterna, MSc UNSJ-C7T INTRODUCCIÓN AL DISEÑO FPGA-DSP Cristian Sisterna, MSc UNSJ-C7T AGENDA Introducción Bloques DSPs en ilinx FPGAs MatLab/Simulink Simulink ilinx System Generator Ejemplo de Diseño con SysGen 2 ALGORITMOS

Más detalles

Encuesta sobre utilización de la microelectrónica en la Argentina

Encuesta sobre utilización de la microelectrónica en la Argentina Encuesta sobre utilización de la microelectrónica en la Argentina Los dispositivos microelectrónicos forman el corazón de todo sistema electrónico de nuestros días. En ellos los circuitos alcanzan las

Más detalles

MEMORIAS DE SEMICONDUCTORES

MEMORIAS DE SEMICONDUCTORES MEMORIAS DE SEMICONDUCTORES Se ha visto anteriormente que un registro (latch o flip-flop) puede almacenar un bit. Para almacenar una gran cantidad de bits, se recurre al uso de memorias. Una memoria, en

Más detalles

1. Análisis de factibilidad

1. Análisis de factibilidad 1. Análisis de factibilidad 1.1. Factibilidad tecnológica - Alternativas de diseño y Elección de una solución En esta sección se plantean las alternativas de diseño para el Kit de desarrollo según se estableció

Más detalles

Implementación de DSP en FPGAs

Implementación de DSP en FPGAs Implementación de DSP en FPGAs Disertantes: Marcos Cervetto Ingeniero Electrónico - UBA Laboratorio de Radiocomunicaciones - INTI Edgardo Marchi Ingeniero Electrónico - UBA Laboratorio de Radiocomunicaciones

Más detalles

BLOQUE 2. (PARTE 3: Tema 1) CONJUNTO CONFIGURABLE DE PUERTAS FPGA (Field Programmable Gate Array) Clasificación y descripción

BLOQUE 2. (PARTE 3: Tema 1) CONJUNTO CONFIGURABLE DE PUERTAS FPGA (Field Programmable Gate Array) Clasificación y descripción SISTEMAS ELECTRÓNICOS DIGITALES OQUE 2 CIRCUITOS DIGITALES CONFIGURAES (PARTE 3: Tema 1) CONJUNTO CONFIGURAE DE PUERTAS Clasificación y descripción Enrique Mandado Pérez María José Moure Rodríguez Circuito

Más detalles

Dispositivos Lógicos Programables

Dispositivos Lógicos Programables Electrónica Digital Departamento de Electrónica Dispositivos Lógicos Programables Bioingeniería Facultad de Ingeniería - UNER Circuitos programables / configurables Arquitectura no configurable Microprocesador

Más detalles

TEMA I INTRODUCCIÓN A LA MICROELECTRÓNICA

TEMA I INTRODUCCIÓN A LA MICROELECTRÓNICA TEMA I INTRODUCCIÓN A LA MICROELECTRÓNICA La Microelectrónica se puede definir como el conjunto de ciencias y técnicas con las que se realizan y fabrican circuitos electrónicos, sobre una pastilla de un

Más detalles

Diseño de un sistema de adquisición de datos de un micrófono utilizando una FPGA

Diseño de un sistema de adquisición de datos de un micrófono utilizando una FPGA Diseño de un sistema de adquisición de datos de un micrófono utilizando una FPGA Experimental III: Introducción a la Microfabricación y FPGA - Instituto Balseiro Mauricio Tosi Diciembre de 2013 Resumen

Más detalles

Metodología para el Diseño de Interfaces de Usuario para Sistemas con FPGA

Metodología para el Diseño de Interfaces de Usuario para Sistemas con FPGA Metodología para el Diseño de Interfaces de Usuario para Sistemas con FPGA Juárez Buenrostro Ángel*, Vázquez Guerrero Mónica, Aceves Fernández Marco Antonio, Ramos Arreguín Carlos Alberto y Ramos Arreguín

Más detalles

PROCESAMIENTO DIGITAL DE IMÁGENES MEDIANTE EL USO DE UN FPGA Y LENGUAJE VHDL

PROCESAMIENTO DIGITAL DE IMÁGENES MEDIANTE EL USO DE UN FPGA Y LENGUAJE VHDL PROCESAMIENTO DIGITAL DE IMÁGENES MEDIANTE EL USO DE UN FPGA Y LENGUAJE VHDL N. E. Chávez Rodríguez*, A. M. Vázquez Vargas** *Departamento de Computación **Departamento de Procesamiento Digital de Señales

Más detalles

Breve Curso de VHDL. M. C. Felipe Santiago Espinosa. Profesor Investigador UTM

Breve Curso de VHDL. M. C. Felipe Santiago Espinosa. Profesor Investigador UTM Breve Curso de VHDL M. C. Felipe Santiago Espinosa Profesor Investigador UTM Noviembre de 2007 1 Orden del curso 1. Introducción al diseño con VHDL. 2. Unidades de diseño en VHDL. 3. Señales. 4. Especificación

Más detalles

Unidad Orientativa (Electrónica) Amplificadores Operacionales

Unidad Orientativa (Electrónica) Amplificadores Operacionales Unidad Orientativa (Electrónica) 1 Amplificadores Operacionales Índice Temático 2 1. Que son los amplificadores operacionales? 2. Conociendo a los Amp. Op. 3. Parámetros Principales. 4. Circuitos Básicos

Más detalles

HARDWARE DE SISTEMA AUTOMÁTICO DE RASTREO DE VEHÍCULOS MEDIANTE TECNOLOGÍAS GPRS Y GPS

HARDWARE DE SISTEMA AUTOMÁTICO DE RASTREO DE VEHÍCULOS MEDIANTE TECNOLOGÍAS GPRS Y GPS HARDWARE DE SISTEMA AUTOMÁTICO DE RASTREO DE VEHÍCULOS MEDIANTE TECNOLOGÍAS GPRS Y GPS Ing. Javier A. Garabello Facultad Regional Villa María UTN Av. Universidad 450 Tel: 0353-4537500 javiergarabello@hotmail.com

Más detalles

ELO211: Sistemas Digitales. Tomás Arredondo Vidal 1er Semestre 2008

ELO211: Sistemas Digitales. Tomás Arredondo Vidal 1er Semestre 2008 ELO211: Sistemas Digitales Tomás Arredondo Vidal 1er Semestre 2008 Este material está basado en: textos y material de apoyo: Contemporary Logic Design 1 st / 2 nd Borriello and Randy Katz. Prentice Hall,

Más detalles

Introducción a los Dispositivos Lógicos Programables (FPGAs) Guillermo Güichal

Introducción a los Dispositivos Lógicos Programables (FPGAs) Guillermo Güichal Introducción a los Dispositivos Lógicos Programables (FPGAs) Guillermo Güichal www.emtech.com.ar Temario Introducción Circuitos Digitales FPGAs Flujo y Herramientas de Diseño Diseño para Síntesis Simulación

Más detalles

Organización de Computadoras. Turno Recursantes Clase 8

Organización de Computadoras. Turno Recursantes Clase 8 Organización de Computadoras Turno Recursantes Clase 8 Temas de Clase Subsistema de Memoria Organización de Memoria Principal Notas de clase 8 2 Memoria Velocidad del procesador: se duplica cada 18 meses

Más detalles

Arquitectura de FPGAs

Arquitectura de FPGAs Arquitectura de FPGAs Diseño Lógico 2-2017 Instituto de Ingeniería Eléctrica Facultad de Ingeniería Universidad de la República PLD / FPGA - Mid 80's: 8 a 16 funciones lógicas - En el lab: 15000 funciones

Más detalles

Introducción a los Dispositivos Lógicos Programables (FPGAs) Guillermo Güichal

Introducción a los Dispositivos Lógicos Programables (FPGAs) Guillermo Güichal Introducción a los Dispositivos Lógicos Programables (FPGAs) Guillermo Güichal www.emtech.com.ar Temario Introducción Circuitos Digitales FPGAs Flujo y Herramientas de Diseño Simulación CPUs con FPGA o

Más detalles

TEMA VII: DISEÑO SECUENCIAL PROGRAMABLE

TEMA VII: DISEÑO SECUENCIAL PROGRAMABLE TEMA VII: ISEÑO SECUENCIAL PROGRAMABLE e igual forma que podíamos disponer de dispositivos combinacionales programables para poder implementar funciones combinacionales en un solo integrado, en el dominio

Más detalles

Un filtro general de respuesta al impulso finita con n etapas, cada una con un retardo independiente d i y ganancia a i.

Un filtro general de respuesta al impulso finita con n etapas, cada una con un retardo independiente d i y ganancia a i. Filtros Digitales Un filtro general de respuesta al impulso finita con n etapas, cada una con un retardo independiente d i y ganancia a i. En electrónica, ciencias computacionales y matemáticas, un filtro

Más detalles

Introducción TEMA 1 TECNOLOGÍA DE LOS CI. ME Tema 1 Lección 1 Aspectos generales sobre diseño microelectrónico 1

Introducción TEMA 1 TECNOLOGÍA DE LOS CI. ME Tema 1 Lección 1 Aspectos generales sobre diseño microelectrónico 1 Introducción TEMA 1 TECNOLOGÍA DE LOS CI 1 ÍNDICE TEMA 1 ASPECTOS GENERALES SOBRE DISEÑO MICROELECTRONICO Evolución del diseño electrónico Proceso de fabricación y métricas de diseño Estrategias de diseño

Más detalles

COMUNICACIÓN I2C (INTER-INTEGRATED CIRCUIT)

COMUNICACIÓN I2C (INTER-INTEGRATED CIRCUIT) COMUNICACIÓN I2C (INTER-INTEGRATED CIRCUIT) Centro CFP/ES COMUNICACIÓN I2C 1 VENTAJAS DE LA COMUNICACIÓN I2C COMPARATIVA ESTANDAR DE TRANSMISIÓN 2 DISPOSITIVOS I2C DISPOSITIVOS I2C MAX518 3 DISPOSITIVOS

Más detalles

Tema 1 Dispositivos Ló gicos Programables (PLD)

Tema 1 Dispositivos Ló gicos Programables (PLD) Tema 1 Dispositivos Ló gicos Programables (PLD) Introducció n a los sistemas digitales Creació n de un sistema digital Partes de los sistemas digitales Opciones de diseñ o Dispositivos ló gicos programables

Más detalles

Equipos generadores de señal. - Introducción - Generadores de función analógicos - Generadores de función digitales: DDS y AWG

Equipos generadores de señal. - Introducción - Generadores de función analógicos - Generadores de función digitales: DDS y AWG - Introducción - Generadores de función analógicos - : DDS y AWG Introducción Los generadores de función también se denominan sintetizadores de función o multifunción y pueden generar distintas formas

Más detalles

- Tecnología que permite la distribución de RF modulando la portadora transmitida desde una estación base.

- Tecnología que permite la distribución de RF modulando la portadora transmitida desde una estación base. - Tecnología que permite la distribución de RF modulando la portadora transmitida desde una estación base. - Normalmente se utiliza en sistemas cuyo acceso es la naturaleza inalámbrica. - Sus características

Más detalles

FPGA: Herramientas de diseño

FPGA: Herramientas de diseño FPGA: Herramientas de diseño Proceso diseño Design and implement a simple unit permitting to speed up encryption with RC5-similar cipher with fixed key set on 8031 microcontroller. Unlike in the experiment

Más detalles

El diseño de filtros digitales en FPGAs.

El diseño de filtros digitales en FPGAs. NÚMERO 20 SEPTIEMBRE DEL 2005 vol - 3 ISSN 1696-7208 El diseño de filtros digitales en FPGAs. Es cada vez mayor el uso que la industria da a las FPGAs, un tipo particular de circuito integrado de gran

Más detalles

CAPÍTULO 3 MÓDULO DIGITAL PARA CONVERSIÓN DE VIDEO

CAPÍTULO 3 MÓDULO DIGITAL PARA CONVERSIÓN DE VIDEO CAPÍTULO 3 MÓDULO DIGITAL PARA CONVERSIÓN DE VIDEO 3.1 INTRODUCCIÓN Las señales provenientes de una tarjeta de video de una computadora son formatos estandarizados que podemos emplear para desplegar información

Más detalles

ESCUELA SUPERIOR POLITECNICA DEL LITORAL PROGRAMA DE ESTUDIOS. Electrónica TEORICA Profesional 2. OBJETIVOS

ESCUELA SUPERIOR POLITECNICA DEL LITORAL PROGRAMA DE ESTUDIOS. Electrónica TEORICA Profesional 2. OBJETIVOS FIEE LABORATORIO DE SISTEMAS DIGITALES UNIDAD ACADÉMICA: CARRERA: ESPECIALIZACIÓN: ÁREA: TIPO DE MATERIA: EJE DE FORMACION: Facultad de Ingeniería en Electricidad y Computación Ingeniería en Electricidad,

Más detalles

Programa ALTERA destinado a Universidades

Programa ALTERA destinado a Universidades Programa ALTERA destinado a Universidades Software MAX+PLUS II versión estudiantil 9.23. UP 1 Education Board Dispositivo EPM7128S de 84 pines y encapsulado del tipo plastic J-lead chip carrier (PLCC)

Más detalles

DISEÑO DIGITAL MODERNO

DISEÑO DIGITAL MODERNO DISEÑO DIGITAL MODERNO MODERN DIGITAL DESIGN Por Jaime Orlando Ruiz Pazos Ingeniero Electrónico, Universidad del Cauca MSc. Sistemas Digitales, Instituto Superior Politécnico José Antonio Echeverría, La

Más detalles

Uso de DCMs y simulación con tiempos

Uso de DCMs y simulación con tiempos Práctica Especial EDCD 1 Uso de DCMs y simulación con tiempos Objetivos Realizar un ejemplo sencillo de uso de un DCM (Digital Clock Manager), bloque de hardware dedicado en las FPGAs de Xilinx, usado

Más detalles

Técnicas de Programación Hardware: CAD para FPGAs y CPLDs. Clase 1: Lógica Configurable

Técnicas de Programación Hardware: CAD para FPGAs y CPLDs. Clase 1: Lógica Configurable Técnicas de Programación Hardware: CAD para FPGAs y CPLDs Clase 1: Lógica Configurable Por: Nelson Acosta & Daniel Simonelli UNICEN - Tandil - 1999 1 Organización del curso Clases teórico/prácticas: 1

Más detalles

502 A I.S.C. JOSE BARDO MORENO MACHADO ENRIQUE EDUARDO MORAN PRADO EDILBERTO RASCON HERNANDEZ

502 A I.S.C. JOSE BARDO MORENO MACHADO ENRIQUE EDUARDO MORAN PRADO EDILBERTO RASCON HERNANDEZ 502 A I.S.C. JOSE BARDO MORENO MACHADO ENRIQUE EDUARDO MORAN PRADO EDILBERTO RASCON HERNANDEZ Dispositivo basado en circuitos que posibilitan el almacenamiento limitado de información y su posterior recuperación.

Más detalles

HOY en día, los arreglos de compuertas

HOY en día, los arreglos de compuertas ENINV IE 2010 Encuentro de Investigación en Ingeniería Eléctrica Zacatecas, Zac, Marzo 25 26, 2010 Plataforma para la implementación de algoritmos de Procesamiento Digital de Imágenes en arquitecturas

Más detalles

Tipos de Dispositivos Controladores

Tipos de Dispositivos Controladores Tipos de Dispositivos Controladores PLC Allen Bradley Siemens Schneider OMRON PC & Software LabView Matlab Visual.NET (C++, C#) FPGAS Xilinx Altera Híbridos Procesador + FPGA (altas prestaciones) µcontrolador

Más detalles

Correcto uso de Reset en FPGAs y su Codificación en VHDL

Correcto uso de Reset en FPGAs y su Codificación en VHDL Correcto uso de Reset en FPGAs y su Codificación en VHDL Nota Técnica 10 Cristian Sisterna Introducción En esta nota técnica se describirán con bastante detalle los distintos tipos de reset que se pueden

Más detalles

Lógica Programable - Dispositivos - Introducción n a los Sistemas Lógicos y Digitales 2008

Lógica Programable - Dispositivos - Introducción n a los Sistemas Lógicos y Digitales 2008 - Dispositivos - Introducción n a los Sistemas Lógicos y Digitales 2008 CPLD (Complex Programmable Logic Device) Aclaración: Fabricantes como Altera llaman CPLD a ciertos modelos de arreglos de compuertas

Más detalles

Electrónica Digital II

Electrónica Digital II Electrónica Digital II M. C. Felipe Santiago Espinosa Aplicaciones de los FPLDs Octubre / 2014 Aplicaciones de los FPLDs Los primeros FPLDs se usaron para hacer partes de diseños que no correspondían a

Más detalles

Electrónica Digital II. Arquitecturas de las Celdas Lógicas. Octubre de 2014

Electrónica Digital II. Arquitecturas de las Celdas Lógicas. Octubre de 2014 Electrónica Digital II Arquitecturas de las Celdas Lógicas Octubre de 2014 Estructura General de los FPLDs Un FPLD típico contiene un número de celdas dispuestas en forma matricial, en las cuales se pueden

Más detalles

INSTITUTO TECNOLÓGICO DE TEHUACÁN. Departamento de Ingeniería Eléctrica-Electrónica. Reporte de Residencia Profesional

INSTITUTO TECNOLÓGICO DE TEHUACÁN. Departamento de Ingeniería Eléctrica-Electrónica. Reporte de Residencia Profesional INSTITUTO TECNOLÓGICO DE TEHUACÁN Departamento de Ingeniería Eléctrica-Electrónica Reporte de Residencia Profesional Proyecto: VIABILIDAD DE LA TARJETA BASYS2 PARA SU IMPLEMENTACIÓN EN EL CONTROL DE UN

Más detalles

Lógica Programable: Dispositivos

Lógica Programable: Dispositivos Lógica Programable: Dispositivos Sergio Noriega 2017 EVOLUCIÓN DE CIRCUITOS LÓGICOS PROGRAMABLES PAL (PROGRAMMABLE ARRAY LOGIC) Primera PAL creada en 1973 por M. Memories BASADA EN PAL OBSOLETO EPLD (Erasable

Más detalles

I2C. Ing. Pablo Martín Gomez pgomez@fi.uba.ar

I2C. Ing. Pablo Martín Gomez pgomez@fi.uba.ar I2C Ing. Pablo Martín Gomez pgomez@fi.uba.ar 1 Comunicaciones en un bus serie 2 Comunicaciones en un bus serie 3 I²C Velocidad 4 UART Universal Asynchronous Receiver Transmitter Estándar de comunicación

Más detalles

1.- DATOS DE LA ASIGNATURA. Diseño Digital Avanzado con FPGAs. Nombre de la asignatura: Carrera: Ingeniería Electrónica. Clave de la asignatura:

1.- DATOS DE LA ASIGNATURA. Diseño Digital Avanzado con FPGAs. Nombre de la asignatura: Carrera: Ingeniería Electrónica. Clave de la asignatura: 1.- DATOS DE LA ASIGNATURA Nombre de la asignatura: Carrera: Clave de la asignatura: (Créditos) SATCA 1 Diseño Digital Avanzado con FPGAs Ingeniería Electrónica SDJ 1203 4 2 6 2.- PRESENTACIÓN Caracterización

Más detalles

CAPÍTULO II: DISPOSITIVOS LÓGICOS PROGRAMABLES DISPOSITIVOS LÓGICOS PROGRAMABLES IEC FRANCISCO JAVIER TORRES VALLE

CAPÍTULO II: DISPOSITIVOS LÓGICOS PROGRAMABLES DISPOSITIVOS LÓGICOS PROGRAMABLES IEC FRANCISCO JAVIER TORRES VALLE II DISPOSITIVOS LÓGICOS PROGRAMABLES 9 2.1 CONCEPTOS FUNDAMENTALES muestran los circuitos básicos para la mayoría de los PLDs. La fabricación de dispositivos de lógica programable se basa en los siguientes

Más detalles

Diseño de Sistemas Embebidos en FPGA Curso de Posgrado Facultad de Ciencias Exactas y Tecnología UNT.

Diseño de Sistemas Embebidos en FPGA Curso de Posgrado Facultad de Ciencias Exactas y Tecnología UNT. Tema 4 Parte 1: Tecnologías de configuración Parte 2: Lenguajes de descripción de Hardware#4 TECNOLOGÍA Tipo de elemento de configuración utilizado. La tecnología de los elementos de configuración influye

Más detalles

Electrónica Digital. Tema 3. Diseño Síncrono

Electrónica Digital. Tema 3. Diseño Síncrono Electrónica igital Tema 3 iseño Síncrono iseño Síncrono Régimen transitorio en los circuitos digitales Concepto de espurio. Clasificación Alternativas para evitarlos iseño síncrono de Sistemas igitales

Más detalles

Tecnología de fabricación en VLSI

Tecnología de fabricación en VLSI Tecnología de fabricación en VLSI Clave del curso: EED307-3 (Opcional como curso relacionado con el proyecto de Maestría). INSTRUCTOR: SESION: PERIODO: Dr. Juan Luis del Valle Martes y Viernes, 9:00-11:00

Más detalles

8 abril 2014 IFEMA Madrid spain.ni.com/nidays. spain.ni.com

8 abril 2014 IFEMA Madrid spain.ni.com/nidays. spain.ni.com 8 abril 2014 IFEMA Madrid /nidays 1 Tendencias y novedades de la arquitectura RIO Matteo Bambini El enfoque de NI hacia el hardware flexible Procesador de Tiempo Real o basado en PC Tecnología FPGA comercial

Más detalles

FPGAS. C. Sisterna DSDA 1

FPGAS. C. Sisterna DSDA 1 FPGAS C. Sisterna DSDA 1 Celda de Configuración del FPGA Elemento básico no-lógico Determina la configuración de cada elemento lógico Determina la configuración de los elementos de ruteo e interconexiones

Más detalles

DISCOS RAID. Se considera que todos los discos físicos tienen la misma capacidad, y de no ser así, en el que sea mayor se desperdicia la diferencia.

DISCOS RAID. Se considera que todos los discos físicos tienen la misma capacidad, y de no ser así, en el que sea mayor se desperdicia la diferencia. DISCOS RAID Raid: redundant array of independent disks, quiere decir conjunto redundante de discos independientes. Es un sistema de almacenamiento de datos que utiliza varias unidades físicas para guardar

Más detalles

EL LOGRO DE SU FORMACIÓN DEPENDE TAMBIÉN DE USTED INSTRUCTOR: ING. JULIO CÉSAR BEDOYA PINO ELECTRÓNICA DIGITAL 2014

EL LOGRO DE SU FORMACIÓN DEPENDE TAMBIÉN DE USTED INSTRUCTOR: ING. JULIO CÉSAR BEDOYA PINO ELECTRÓNICA DIGITAL 2014 EL LOGRO DE SU FORMACIÓN DEPENDE TAMBIÉN DE USTED INSTRUCTOR: ING. JULIO CÉSAR BEDOYA PINO ELECTRÓNICA DIGITAL 2014 CONTENIDO ELECTRÓNICA DIGITAL SISTEMA DE REPRESENTACIÓN TABLA DE CONVERSIÓN EJERCICIOS

Más detalles

TEMA 1. Introducción

TEMA 1. Introducción TEMA 1 Introducción Contenidos: Visión estructurada de los sistemas de transmisión de datos. Arquitectura de protocolos. 1 Modelo simplificado de comunicaciones Fuente Transmisor Sistema de transmisión

Más detalles

Acondicionamiento de Señal. Unidad 3

Acondicionamiento de Señal. Unidad 3 Acondicionamiento de Señal Unidad 3 Contenido Puentes de resistencias e impedancias Amplificadores Circuitos de salida Muestreadores Retentores Multiplexores Convertidores digital analógico Convertidores

Más detalles

Concentradores de cableado

Concentradores de cableado Concentradores de cableado Un concentrador es un dispositivo que actúa como punto de conexión central entre los nodos que componen una red. Los equipos conectados al propio concentrador son miembros de

Más detalles

FPGA FIELD PROGRAMMABLE GATE ARRAY

FPGA FIELD PROGRAMMABLE GATE ARRAY FPGA FIELD PROGRAMMABLE GATE ARRAY Arreglo Programables A los circuitos digitales que son programables en hardware usando lenguajes como VHDL se les conoce como dispositivos lógicos programables (PLDs,

Más detalles

MICRÓFONOS. Conceptos básicos

MICRÓFONOS. Conceptos básicos MICRÓFONOS Conceptos básicos Un micrófono es un dispositivo capaz de convertir la energía acústica en energía eléctrica. El valor de la tensión de la energía eléctrica es proporcional a la presión ejercida

Más detalles

REGISTROS DE DESPLAZAMIENTO

REGISTROS DE DESPLAZAMIENTO REGISTROS DE DESPLAZAMIENTO Es un circuito digital que acepta datos binarios de una fuente de entrada y luego los desplaza, un bit a la vez, a través de una cadena de flip-flops. Este sistema secuencial

Más detalles

Tema 3. Buses. Arquitectura de computadores. Plan 96. Curso 2010-2011. Jerarquía de buses

Tema 3. Buses. Arquitectura de computadores. Plan 96. Curso 2010-2011. Jerarquía de buses Tema 3. Buses 1. Introducción Jerarquía de buses Clasificación Fases de una transacción 2. Transferencia de datos 3. Temporización Bus síncrono Bus asíncrono Bus semisíncrono 4. Arbitraje del bus Centralizado

Más detalles

AMPLIFICACION EN POTENCIA. Figura 1. Estructura Básica de un Convertidor DC/AC.

AMPLIFICACION EN POTENCIA. Figura 1. Estructura Básica de un Convertidor DC/AC. INTRODUCCION: Los convertidores DC/AC conocidos también como inversores, son dispositivos electrónicos que permiten convertir energía eléctrica DC en alterna AC. En el desarrollo de esta sesión de laboratorio,

Más detalles

DISEÑO E IMPLEMENTACIÓN DE UNA TARJETA DE ADQUISICIÓN DE DATOS PARA EL LABORATORIO DE TELECOMUNICACIONES DE LA FIEC.

DISEÑO E IMPLEMENTACIÓN DE UNA TARJETA DE ADQUISICIÓN DE DATOS PARA EL LABORATORIO DE TELECOMUNICACIONES DE LA FIEC. TESIS DISEÑO E IMPLEMENTACIÓN DE UNA TARJETA DE ADQUISICIÓN DE DATOS PARA EL LABORATORIO DE TELECOMUNICACIONES DE LA FIEC. DIRECTOR DE TESIS.- Ing. Francisco Novillo AUTOR Walter Mestanza Vera. Egresado

Más detalles

[9] IMPLEMENTACIÓN DE FILTROS DIGITALES EN FPGA

[9] IMPLEMENTACIÓN DE FILTROS DIGITALES EN FPGA [9] IMPLEMENTACIÓN DE FILTROS DIGITALES EN FPGA Emiliano F. Alba Blanco Jaime Ruiz Instituto Superior Politécnico José A. Echeverría (ISPJAE) emiliano@electrica.cujae.edu.cu RESUMEN En este trabajo se

Más detalles

Lógica Programable: Dispositivos

Lógica Programable: Dispositivos Lógica Programable: Dispositivos Sergio Noriega 2017 EVOLUCIÓN DE CIRCUITOS LÓGICOS PROGRAMABLES PAL (PROGRAMMABLE ARRAY LOGIC) Primera PAL creada en 1973 por M. Memories BASADA EN PAL OBSOLETO EPLD (Erasable

Más detalles

Comparadores de tensión

Comparadores de tensión Universidad Nacional de Rosario Facultad de Ciencias Exactas, Ingeniería y Agrimensura Escuela de Ingeniería Electrónica ELECTRÓNICA II NOTAS DE CLASE Comparadores de tensión OBJETIVOS - CONOCIMIENTOS

Más detalles

Sistemas Electrónicos Industriales II EC2112

Sistemas Electrónicos Industriales II EC2112 Sistemas Electrónicos Industriales II EC2112 Prof. Julio Cruz Departamento de Electrónica Trimestre Enero-Marzo 2009 Sección 2 Previamente Fundamentos de los circuitos eléctricos Análisis de redes resistivas

Más detalles

Protocolo USB CDM 2012. 22/11/2012 Autor: Ing. Jorge R. Osio 1

Protocolo USB CDM 2012. 22/11/2012 Autor: Ing. Jorge R. Osio 1 Protocolo USB CDM 2012 1 Temario Prestaciones del protocolo Principales características Elementos de una transferencia USB Enumeración de dispositivos 2 Prestaciones del protocolo Soporta variedad de dispositivos

Más detalles

Tracking de puntos para un sistema de control visual embebido en FPGA

Tracking de puntos para un sistema de control visual embebido en FPGA Tracking de puntos para un sistema de control visual embebido en FPGA Máster Universitario en Automática y Robótica Trabajo Fin de Máster Autor: Alberto Torres Murcia Tutor: Gabriel J. García Gómez Julio

Más detalles

Programables en el Campo (Field Programmable Gate Array) FPGA

Programables en el Campo (Field Programmable Gate Array) FPGA Arreglo de Compuertas Programables en el Campo (Field Programmable Gate Array) FPGA Hasta 2 E/S FGPAs Hasta 4 estandares de E/S soportados Hasta 4. Flips-Flops y Look-Up-Tables (LUTs) Bloques de memoria

Más detalles