PRÁCTICA DE SÍNTESIS

Tamaño: px
Comenzar la demostración a partir de la página:

Download "PRÁCTICA DE SÍNTESIS"

Transcripción

1 PRÁCTICA DE SÍNTESIS El sumador restador en complemento a dos 1.- Introducción Mediante el complemento a dos se simplifica la circuitería necesaria para realiar las operaciones suma y resta de números binarios con signo. El empleo de esta técnica permite realiar estas operaciones empleando un sencillo sumador binario junto con una lógica adicional. La figura 1 presenta el esquema de un circuito aritmético para números en complemento a 2 de bits, mostrando los componentes principales y sus conexiones. X Y Control 1 Selector de datos Selector de datos Complemento a 1 Control 2 Sumador binario de bits OP_SELECT Detector de desbordamiento Salida Figura 1. Diagrama de bloques de un circuito para sumar o restar números en complemento a dos. El sumador en el centro de la unidad está diseñado para números binarios sin signo. Si se activa un complementador (un circuito lógico bastante sencillo) en una de sus entradas de datos, el mismo sumador puede realiar restas, aprovechando el hecho de que la operación X-Y es la suma de X y el complemento a dos de Y (o sea, X+(-Y)). El complementador se controla con una señal externa OP_SELECT, que ecciona la operación, suma o resta, que efectúa la unidad aritmética. Dos componentes controlados 1/9

2 externamente, llamados ectores de datos (o multiplexores), determinan cuáles serán los operandos que se aplicarán a la entrada derecha y la entrada iquierda del sumador. Con esta disposición, podemos aplicar X o Y a la entrada de la iquierda del sumador, y X, Y, -X o Y a la entrada de la derecha del sumador, lo que permitiría calcular las siguientes operaciones aritméticas: X+Y X-Y Y-X 2X (ó X+X) 2Y (ó Y+Y) Las otras operaciones que podría realiarse con el resto de las combinaciones de las señales de control son obvias o redundantes y no se tomarán en cuenta (estas son: Y+X = X+Y, X-X=0, Y-Y=0). Este sumador-restador es mucho más sencillo que un sumador-restador similar para números codificados mediante magnitud-signo, ya que se necesitarían sumadores y restadores separados, además de circuitos para comparar la magnitud de los operandos de entrada. El objetivo de la presente práctica es diseñar, simular e implementar un circuito capa de realiar las operaciones anteriormente expresadas, donde X e Y son números en complemento a Pasos a seguir 2.1. DISEÑO DE LOS MULTIPLEXORES Primeramente hay que diseñar un multiplexor 2 a1 de un bit (esta parte ya ha sido realiada en clase). Una ve sintetiado el componente, éste debe simularse para comprobar el correcto funcionamiento del mismo (ver figura 2). Figura 2. Esquema de un multiplexor de 1 bit y simulación funcional del mismo. Tras comprobar que el diseño funciona correctamente, se procede a la implementación de un multiplexor de señales de bits, que son los que necesitamos en el diseño 2/9

3 global que aparece en la figura 1. El diseño de un multiplexor de estas características se consigue conectando multiplexores sencillos tal y como se muestra en la figura. unit0 (0) (0) (0) (1) (1) unit1 (1) (2) (2) unit2 (2) Figura. Esquema de un multiplexor de bits (componente y detalle de implementación) Para implementar este componente creamos un nuevo código con el asistente, en el que pondremos en este caso que las señales, y son buses de bits (es decir, de 2 a 0). A continuación, en la plantilla generada, hay que inicialiar el componente básico creado anteriormente (mux2a1 de 1 bit) en la parte declarativa de la arquitectura mediante la cláusula COMPONENT según se vio en el tutorial. Además, en el cuerpo de la arquitectura habría que instanciar (o usar) tres veces dicho componente básico (cláusula PORT MAP). Ambas sintaxis se pueden obtener generando una plantilla para tal fin en Processes Design Utilities View HDL Instantiation Template. Una ve realiadas las conexiones pertinentes en los PORT MAP, hay que comprobar nuevamente que el módulo funciona correctamente, por lo que creamos un nuevo archivo de simulación (mux2a1_bits_tb) que asociamos a este nuevo módulo. Para corroborar el correcto funcionamiento, en este caso nos basta con poner dos datos distintos a las entradas de y y comprobar que la salida conmuta entre estos dos valores en consonancia con la señal de ección (sustituir en la parte declarativa de /9

4 y las inicialiaciones de todo a 0, others=>'0', por valores tipo 010 ). El formato de los datos de los buses puede verse en distintas codificaciones, sin más que hacer click con el botón derecho del ratón en los valores, tal y como se aprecia en la figura 4. Figura 4. Simulación funcional del multiplexor de bits (en formato binario puro y decimal sin signo) 2.2. DISEÑO DEL COMPLEMENTADOR A 1 El complementador a 1 es un sencillo bloque que, a través de la señal de control OP_SELECT hace que la señal de bits entrante se obtenga a la salida tal cual o complementada (es decir, cambiando 0 s por 1 s y viceversa). Así, creamos un nuevo componente denominado ca1_bits, que tendrá una señal simple de control (op_ect) y dos buses de bits de datos (din y dout), tal y como se muestra en la figura 5. Para realiar esta operación empleamos el operador xor (or-exclusiva) para cada una de las componentes del bus din, ya que din 0 = din din 1 = din según vimos en clase. Figura 5. Esquema del complementador y simulación funcional del mismo 4/9

5 2.. DISEÑO DEL SUMADOR DE BITS El último bloque que queda por diseñar en la figura 1 es el sumador completo de bits. Para implementar este bloque haremos uso de sumadores completos de 1 bit conectados en cascada. Las ecuaciones algebraicas de un sumador completo son: s = a b cin = ( a b) cin cout = a b + a cin + b cin = ( a b + a cin) + b cin En las ecuaciones descritas arriba se ha aplicado la propiedad asociativa respecto a la suma lógica y a la suma exclusiva, ya que los operadores or y xor están definidos en el lenguaje como operadores binarios (entre dos operandos). En la figura 6 aparece el módulo que debe implementarse y su simulación funcional. a b cout S. C. cin s Figura 6. Esquema del sumador completo de 1 bit y simulación funcional del mismo El sumador de bits se obtiene conectando en cascada bloques iguales al anterior. Como el módulo está pensado para realiar sumas y restas en complemento a 2, en esta representación numérica el último acarreo de salida no se tiene en cuenta, pero sí es útil implementar un detector de desbordamiento u overflow, que se obtiene tal y como se muestra en la figura 7. Figura 7. Implementación del sumador completo de bits con bloques básicos Como sabemos, para bits, el rango de números que podemos representar en complemento a 2 está en el intervalo [-4:+]. En la simulación funcional de la figura 8 se ha optado por dejar uno de los sumandos fijo y variar el otro. Todos los buses se han representado en notación Decimal (Signed), o sea, en complemento a dos, haciendo click con el botón derecho del ratón en los mismos. Se puede comprobar que la suma se realia correctamente en todos los casos excepto en los dos últimos en donde se produce desbordamiento (overflow=1). 5/9

6 a b overflow S. C. cin s Figura 8. Interfase del sumador completo de bits y simulación funcional del mismo 2.4. DISEÑO DEL SISTEMA GLOBAL Una ve hallamos implementado y simulado todos los componentes anteriores, estos deben conectarse tal y como se mostraba en la figura 1. En las figuras 9 y 10 se muestran, respectivamente, el módulo de jerarquía superior que debe crearse con sus puertos de interfase y el esquema de conexionado de los bloques creados anteriormente x y overflow sumador restador ca2 control1 control2 op_ect salida Figura 9. Esquema del sumador restador completo de bits control2 op_ect x y int1 Ca1 din dout op_ect int2 b cin control1 S. C. s salida int0 a overflow overflow Figura 10. Conexión de los bloques creados que conforman el sumador restador 6/9

7 que lo componen. Se han declarado los buses internos int0, int1 e int2 como std_logic_vector (2 downto 0) para conectar algunos módulos, según puede apreciarse. Para calcular el opuesto a un número en complemento a 2, una manera de obtenerlo es realiar el complemento a 1 y sumar 1. Es por ello que la señal op_ect se conecta al complementador a 1 Ca1 y al puerto de entrada cin del sumador. Con esta combinación en realidad hacemos el complemento a 2 pues. Llegados a este punto, hemos realiado un diseño jerárquico de niveles, como se aprecia en la figura 11. Figura 11. Esquema jerárquico del diseño implementado En la figura 12 se muestra la simulación funcional del sistema diseñado. En este caso se han puesto como operandos X=+1 e Y=- y se han realiado las 8 combinaciones de las señales de control op_ect, control1 y control2. En la tabla adjunta se puede comprobar la operación que se está realiando con cada combinación. Comprobar que los resultados son los esperados para otros valores. op_ect control2 control1 operación resultado X+X Y+X X+Y Y+Y +2 (ov) X-X Y-X X-Y -4 (ov) Y-Y 0 Figura 12. Simulación funcional del sumador/restador implementado 7/9

8 2.5. ASIGNACIÓN DE PINES Para realiar la asignación de pines hay que crear un archivo de restricciones de usuario donde se incluye esta información. Como vimos en el tutorial, Processes User Constraints Floorplan IO Pre-Synthesis, asegurándonos de tener eccionada en la ventana de Sources el top del diseño. Nuestro diseño consta de 9 puertos de entrada ( para X, para Y, y para las señales de control). Lo ideal sería asignar dichos puertos a interruptores, pero lo placa SPARTAN- sólo tiene 8. Así pues, asignaremos SW7 a control2, SW6 a control1, SW5-SW para X y SW2-SW0 para Y. La referencia de los pines a los que están conectados estos interruptores se encuentran en el anexo. La señal op_ect se asignará a un botón (BTN0, por ejemplo). Para la salida no tenemos ningún problema en cuanto a recursos y podemos asignar el overflow al LD7 y la salida a los leds más a la derecha (LD2-LD0), por ejemplo IMPLEMENTACIÓN DEL DISEÑO Una ve que se han diseñado y simulado correctamente todos y cada uno de los bloques, y se ha realiado la asignación de pines de la FPGA, debemos sintetiar nuestro diseño. Seleccionando el módulo de jerarquía superior, hacemos doble-click en Processes Generate Programming File (cerrar el cuadro de diálogo que aparece). Esto hará que se ejecuten todos los procesos anteriores para generar el archivo de programación. Ya con la placa conectada, el último paso es programarla (Generate Programming File Configure Device (impact)). Switches and LEDs Slide Switches The Spartan- Starter Kit board has eight slide switches, indicated as 11 in Figure 1-2. The switches are located along the lower edge of the board, toward the right edge. The switches are labeled SW7 through SW0. Switch SW7 is the left-most switch, and SW0 is the rightmost switch. The switches connect to an associated FPGA pin, as shown in Table 4-1. A detailed schematic appears in Figure A-2. Table 4-1: Slider Switch Connections Switch SW7 SW6 SW5 SW4 SW SW2 SW1 SW0 FPGA Pin K1 K14 J1 J14 H1 H14 G12 F12 Push Button Switches The Spartan- Starter Kit board has four momentary-contact push button switches, indicated as1 in Figure 1-2. These push buttons are located along the lower edge of the board, toward the right edge. The switches are labeled BTN through BTN0. Push button switch BTN is the left-most switch, BTN0 the right-most switch. The push button switches connect to an associated FPGA pin, as shown in Table 4-2. A detailed schematic appears in Figure A-2. Table 4-2: Push Button Switch Connections Push Button BTN BTN2 BTN1 BTN0 FPGA Pin L14 L1 M14 M1 8/9

9 LEDs The Spartan- Starter Kit board has eight individual surface-mount LEDs located above the push button switches, indicated by 12 in Figure 1-2. The LEDs are labeled LED7 through LED0. LED7 is the leftmost LED, LED0 the right-most LED. Table 4- shows the FPGA connections to the LEDs. Table 4-: LED Connections to the Spartan- FPGA LED LD7 LD6 LD5 LD4 LD LD2 LD1 LD0 FPGA Pin P11 P12 N12 P1 N14 L12 P14 K12 Figure 1-2: Xilinx Spartan- Starter Kit Board (Top Side) 9/9

T6. CIRCUITOS ARITMÉTICOS

T6. CIRCUITOS ARITMÉTICOS T6. CIRCUITOS ARITMÉTICOS Circuitos Aritméticos Son dispositivos MSI que pueden realizar operaciones aritméticas (suma, resta, multiplicación y división) con números binarios. De todos los dispositivos,

Más detalles

TEMA 6. Circuitos Aritméticos.

TEMA 6. Circuitos Aritméticos. Fundamentos de los Computadores. Circuitos Aritméticos T6- TEMA 6. Circuitos Aritméticos. INDICE: OPERACIONES EN EL SISTEMA BINARIO CIRCUITOS SUMADORES CIRCUITOS RESTADORES UNIDADES LÓGICO ARITMÉTICAS

Más detalles

Universidad Autónoma de Baja California Facultad de Ingeniería Mexicali

Universidad Autónoma de Baja California Facultad de Ingeniería Mexicali Sumadores En este documento se describe el funcionamiento del circuito integrado 7483, el cual implementa un sumador binario de 4 bits. Adicionalmente, se muestra la manera de conectarlo con otros dispositivos

Más detalles

Modelo de examen tipo resuelto 1

Modelo de examen tipo resuelto 1 Modelo de examen tipo resuelto. Diseñar un sistema combinacional que tenga cinco entradas y dos salidas y que actúe de la siguiente forma: las cinco entradas (x 4 x 3 x 2 x x 0 ) representan una palabra

Más detalles

Electrónica Básica. Aritmética Binaria. Electrónica Digital. José Ramón Sendra Sendra Dpto. de Ingeniería Electrónica y Automática ULPGC

Electrónica Básica. Aritmética Binaria. Electrónica Digital. José Ramón Sendra Sendra Dpto. de Ingeniería Electrónica y Automática ULPGC Electrónica Básica Aritmética Binaria Electrónica Digital José Ramón Sendra Sendra Dpto. de Ingeniería Electrónica y Automática ULPGC ARITMÉTICA BINARIA Operaciones en el sistema Binario Natural Suma Binaria

Más detalles

INSTITUTO POLITÉCNICO NACIONAL ESCUELA SUPERIOR DE INGENIERIA MECANICA Y ELECTRICA INGENIERIA EN COMUNICACIONES Y ELECTRÓNICA ACADEMIA DE COMPUTACIÓN

INSTITUTO POLITÉCNICO NACIONAL ESCUELA SUPERIOR DE INGENIERIA MECANICA Y ELECTRICA INGENIERIA EN COMUNICACIONES Y ELECTRÓNICA ACADEMIA DE COMPUTACIÓN INSTITUTO POLITÉCNICO NACIONAL ESCUELA SUPERIOR DE INGENIERIA MECANICA Y ELECTRICA UNIDAD CULHUACAN INGENIERIA EN COMUNICACIONES Y ELECTRÓNICA ACADEMIA DE COMPUTACIÓN LABORATORIO DE CIRCUITOS DIGITALES

Más detalles

Tema 11: Sistemas combinacionales

Tema 11: Sistemas combinacionales Tema 11: Sistemas combinacionales Objetivo: Introducción Generador Comprobador de paridad Comparadores Semisumador (HA) Sumador Completo (FA) Expansión de sumadores Sumador paralelo con arrastre serie

Más detalles

5.1.1 Sumadores con anticipación de Acarreo. g i = a i b i. c i = c i-1 p i + g i s i = p i + c i-1. c 0 = g 0 + c -1 p 0

5.1.1 Sumadores con anticipación de Acarreo. g i = a i b i. c i = c i-1 p i + g i s i = p i + c i-1. c 0 = g 0 + c -1 p 0 5.1.1 Sumadores con anticipación de Acarreo. El sumador paralelo de n bits que se ha mostrado hasta ahora, tiene un nivel de retardo de 2*n puertas, pues necesita 2*n etapas de puertas lógicas para que

Más detalles

Figura 1. Símbolo que representa una ALU. El sentido y la funcionalidad de las señales de la ALU de la Figura 1 es el siguiente:

Figura 1. Símbolo que representa una ALU. El sentido y la funcionalidad de las señales de la ALU de la Figura 1 es el siguiente: Departamento de Ingeniería de Sistemas Facultad de Ingeniería Universidad de Antioquia Arquitectura de Computadores y Laboratorio ISI355 (2011 2) Práctica No. 1 Diseño e implementación de una unidad aritmético

Más detalles

Tema 4: Circuitos combinacionales

Tema 4: Circuitos combinacionales Estructura de computadores Tema 4: Circuitos combinacionales Tema 4: Circuitos combinacionales 4.0 Introducción Los circuitos lógicos digitales pueden ser de dos tipos: combinacionales secuenciales. Circuitos

Más detalles

INSTITUTO POLITÉCNICO NACIONAL ESCUELA SUPERIOR DE INGENIERIA MECANICA Y ELECTRICA INGENIERIA EN COMUNICACIONES Y ELECTRÓNICA ACADEMIA DE COMPUTACIÓN

INSTITUTO POLITÉCNICO NACIONAL ESCUELA SUPERIOR DE INGENIERIA MECANICA Y ELECTRICA INGENIERIA EN COMUNICACIONES Y ELECTRÓNICA ACADEMIA DE COMPUTACIÓN I. P. N. ESIME Unidad Culhuacan INSTITUTO POLITÉCNICO NACIONAL ESCUELA SUPERIOR DE INGENIERIA MECANICA Y ELECTRICA UNIDAD CULHUACAN INGENIERIA EN COMUNICACIONES Y ELECTRÓNICA ACADEMIA DE COMPUTACIÓN LABORATORIO

Más detalles

3.8 Construcción de una ALU básica

3.8 Construcción de una ALU básica 3.8 Construcción de una ALU básica En este punto veremos como por medio de compuertas lógicas y multiplexores, se pueden implementar las operaciones aritméticas básicas de una ALU. Esencialmente en este

Más detalles

ELECTRONICS WORKBENCH

ELECTRONICS WORKBENCH PRÁCTICA 3: SIMULACIÓN DE CIRCUITOS COMBINACIONALES CON ELECTRONICS WORKBENCH Ingeniería Técnica en Informática de Sistemas. Miguel Martínez Iniesta Juan Antonio Ruiz Palacios DESARROLLO DE LA PRÁCTICA

Más detalles

Circuito de refresco de un Display

Circuito de refresco de un Display DEPARTAMENTO DE TECNOLOGÍA ELECTRÓNICA ESCUELA TÉCNICA SUPERIOR DE INGENIERÍA INFORMÁTICA Circuito de refresco de un Display Sistemas Digitales Avanzados 1. Introducción y objetivos Uno de los objetivos

Más detalles

CIRCUITOS ARITMÉTICOS

CIRCUITOS ARITMÉTICOS LABORATORIO # 6 Realización: 26-05-2011 CIRCUITOS ARITMÉTICOS 1. OBJETIVOS Comprender los circuitos aritméticos dentro de la lógica binaria Utilizar sumadores totales de cuatro bits dentro de un Circuito

Más detalles

CASO PRÁCTICO DISTRIBUCIÓN DE COSTES

CASO PRÁCTICO DISTRIBUCIÓN DE COSTES CASO PRÁCTICO DISTRIBUCIÓN DE COSTES Nuestra empresa tiene centros de distribución en tres ciudades europeas: Zaragoza, Milán y Burdeos. Hemos solicitado a los responsables de cada uno de los centros que

Más detalles

CODIFICADORES. Cuando solo una de las entradas está activa para cada combinación de salida, se le denomina codificador completo.

CODIFICADORES. Cuando solo una de las entradas está activa para cada combinación de salida, se le denomina codificador completo. Circuitos Combinacionales MSI CODIFICADORES Son los dispositivos MSI que realizan la operación inversa a la realizada por los decodificadores. Generalmente, poseen 2 n entradas y n salidas. Cuando solo

Más detalles

Computación I Representación Interna Curso 2011

Computación I Representación Interna Curso 2011 Computación I Representación Interna Curso 2011 Facultad de Ingeniería Universidad de la República Temario Representación de Números Enteros Representación de Punto Fijo Enteros sin signo Binarios puros

Más detalles

Sumador: C o. C in. Sumador serie: Sumador paralelo con propagación de arrastre:

Sumador: C o. C in. Sumador serie: Sumador paralelo con propagación de arrastre: UNIDAD ARITMETICO-LOGICA Conceptos Unidad aritmético-lógica: Elemento que realiza las operaciones aritméticas y lógicas entre los datos Operaciones típicas Sumar Restar Multiplicar Desplazamiento de registros

Más detalles

DEPARTAMENTO DE CIENCIAS BÁ SICAS E INGENIERÍAS INGENIERÍA EN TELEMÁ TICA

DEPARTAMENTO DE CIENCIAS BÁ SICAS E INGENIERÍAS INGENIERÍA EN TELEMÁ TICA DEPRTMENTO DE CIENCIS Á SICS E INGENIERÍS INGENIERÍ EN TELEMÁ TIC MRE DE L SIGNTUR CLVE SIGNTUR PLN DE ESTUDIO ELECTRONIC DIGITL IT0208 2004IT PRCTIC No. LORTORIO DE MRE DE L PRCTIC DURCIÓN 5 LORTORIO

Más detalles

ALGEBRA DE BOOLE ENTRADAS SALIDA A B A + B 0 0 0 0 1 1 1 0 1 1 1 1

ALGEBRA DE BOOLE ENTRADAS SALIDA A B A + B 0 0 0 0 1 1 1 0 1 1 1 1 IES NESTOR LMENDROS DPTO. DE TENOLOGÍ LGER DE OOLE INTRODUIÓN (George oole, matemático inglés, 1815-1864) El álgebra opera con variables booleanas, que son aquellas que sólo pueden tomar dos valores (0

Más detalles

Generación de funciones lógicas mediante decodificadores binarios con salidas activas a nivel alto

Generación de funciones lógicas mediante decodificadores binarios con salidas activas a nivel alto Generación de funciones lógicas mediante decodificadores binarios con salidas activas a nivel alto Apellidos, nombre Martí Campoy, Antonio (amarti@disca.upv.es) Departamento Centro Informática de Sistemas

Más detalles

1 1 0 1 x 1 0 1 1 1 1 0 1 + 1 1 0 1 0 0 0 0 1 1 0 1 1 0 0 0 1 1 1 1

1 1 0 1 x 1 0 1 1 1 1 0 1 + 1 1 0 1 0 0 0 0 1 1 0 1 1 0 0 0 1 1 1 1 5.1.3 Multiplicación de números enteros. El algoritmo de la multiplicación tal y como se realizaría manualmente con operandos positivos de cuatro bits es el siguiente: 1 1 0 1 x 1 0 1 1 1 1 0 1 + 1 1 0

Más detalles

PRÁCTICA DE SÍNTESIS nº2

PRÁCTICA DE SÍNTESIS nº2 PRÁCTICA DE SÍNTESIS nº2 Sistemas secuenciales: detector de trama y contador 1.- Introducción En esta práctica hay que implementar un detector de trama a partir de una máquina tipo Mealy. El detector debe

Más detalles

4. SUMADORES EN BINARIO PURO (I)

4. SUMADORES EN BINARIO PURO (I) TEMA 3: SISTEMAS ARITMÉTICOS Introducción y objetivos (3). Representación y codificación de la información (4-7) 2. Sistemas numéricos posicionales. Binario, hexadecimal, octal, y BCD. (8-33) 3. Números

Más detalles

TEMA 6 ARITMÉTICA BINARIA Y CIRCUITOS ARITMÉTICOS

TEMA 6 ARITMÉTICA BINARIA Y CIRCUITOS ARITMÉTICOS TEMA 6 ARITMÉTICA BINARIA Y CIRCUITOS ARITMÉTICOS . ARITMÉTICA BINARIA. Aritmética binaria básica a) Suma binaria.sea C i el acarreo (carry) generado al sumar los bits A i B i (A i +B i ) 2. Sea i= y C

Más detalles

Por ejemplo, los números binarios sin signo que se pueden construir con 4 bits son: bit más significativo more significant bit (msb)

Por ejemplo, los números binarios sin signo que se pueden construir con 4 bits son: bit más significativo more significant bit (msb) istema binario Un sistema binario utiliza únicamente dos símbolos para representar la información. Comúnmente los símbolos usados son los dígitos y 1, por eso reciben el nombre de dígitos binarios (binary

Más detalles

FUNCIONES ARITMÉTICAS Y

FUNCIONES ARITMÉTICAS Y Tema 3 FUNCIONES ARITMÉTICAS Y LÓGICAS 3.. INTRODUCCIÓN Hasta ahora hemos visto como se podían minimizar funciones booleanas, y como se podían implementar a partir de puertas discretas. En los temas siguientes

Más detalles

Tema : ELECTRÓNICA DIGITAL

Tema : ELECTRÓNICA DIGITAL (La Herradura Granada) Departamento de TECNOLOGÍA Tema : ELECTRÓNICA DIGITAL.- Introducción. 2.- Representación de operadores lógicos. 3.- Álgebra de Boole. 3..- Operadores básicos. 3.2.- Función lógica

Más detalles

Diapositiva 1. Por supuesto, también se puede hacer lo contrario. Un demultiplexor envía una señal a una de muchas líneas.

Diapositiva 1. Por supuesto, también se puede hacer lo contrario. Un demultiplexor envía una señal a una de muchas líneas. Diapositiva 1 Por supuesto, también se puede hacer lo contrario. Un demultiplexor envía una señal a una de muchas líneas. Diapositiva 2 Diapositiva 3 Diapositiva 4 En los circuitos digitales la información

Más detalles

OR (+) AND( ). AND AND

OR (+) AND( ). AND AND Algebra de Boole 2.1.Introducción 2.1. Introducción El Algebra de Boole es un sistema matemático que utiliza variables y operadores lógicos. Las variables pueden valer 0 o 1. Y las operaciones básicas

Más detalles

NÚMEROS NATURALES Y NÚMEROS ENTEROS

NÚMEROS NATURALES Y NÚMEROS ENTEROS NÚMEROS NATURALES Y NÚMEROS ENTEROS Los números naturales surgen como respuesta a la necesidad de nuestros antepasados de contar los elementos de un conjunto (por ejemplo los animales de un rebaño) y de

Más detalles

Unidad I. 1.1 Sistemas numéricos (Binario, Octal, Decimal, Hexadecimal)

Unidad I. 1.1 Sistemas numéricos (Binario, Octal, Decimal, Hexadecimal) Unidad I Sistemas numéricos 1.1 Sistemas numéricos (Binario, Octal, Decimal, Hexadecimal) Los computadores manipulan y almacenan los datos usando interruptores electrónicos que están ENCENDIDOS o APAGADOS.

Más detalles

Sistemas Digitales Ingeniería Técnica en Informática de Sistemas Curso 2006 2007 Aritmética binaria

Sistemas Digitales Ingeniería Técnica en Informática de Sistemas Curso 2006 2007 Aritmética binaria Oliverio J. Santana Jaria 3. Aritmética tica binaria Sistemas Digitales Ingeniería Técnica en Informática de Sistemas Curso 2006 2007 Para Los La en conocer muchos aritmética comprender otros binaria tipos

Más detalles

TEMA 5. ELECTRÓNICA DIGITAL

TEMA 5. ELECTRÓNICA DIGITAL TEMA 5. ELECTRÓNICA DIGITAL 1. INTRODUCCIÓN Los ordenadores están compuestos de elementos electrónicos cuyas señales, en principio, son analógicas. Pero las señales que entiende el ordenador son digitales.

Más detalles

Sistemas de numeración

Sistemas de numeración Sistemas de numeración Un sistema de numeración es un conjunto de símbolos y reglas que permiten representar datos numéricos. Los sistemas de numeración actuales son sistemas posicionales, que se caracterizan

Más detalles

Aritmética Binaria. Luis Entrena, Celia López, Mario García, Enrique San Millán. Universidad Carlos III de Madrid

Aritmética Binaria. Luis Entrena, Celia López, Mario García, Enrique San Millán. Universidad Carlos III de Madrid Aritmética Binaria Luis Entrena, Celia López, Mario García, Enrique San Millán Universidad Carlos III de Madrid 1 Índice Representación de números con signo Sistemas de Signo y Magnitud, Complemento a

Más detalles

LECCIÓN 8: CIRCUITOS Y ALGORITMOS DE MULTIPLICACIÓN DE ENTEROS

LECCIÓN 8: CIRCUITOS Y ALGORITMOS DE MULTIPLICACIÓN DE ENTEROS ESTRUCTURA DE COMPUTADORES Pag. 8.1 LECCIÓN 8: CIRCUITOS Y ALGORITMOS DE MULTIPLICACIÓN DE ENTEROS 1. Circuitos de multiplicación La operación de multiplicar es mas compleja que la suma y por tanto se

Más detalles

FORMATO BINARIO DE NÚMEROS NEGATIVOS

FORMATO BINARIO DE NÚMEROS NEGATIVOS FORMATO BINARIO DE NÚMEROS NEGATIVOS Introducción: Como sabemos, con un número n determinado de bits se pueden manejar 2 n números binarios distintos. Hasta ahora hemos trabajado con números binarios puros,

Más detalles

Laboratorio de Dispositivos Integrados Especializados / Diseño de Circuitos y Sistemas Electrónicos

Laboratorio de Dispositivos Integrados Especializados / Diseño de Circuitos y Sistemas Electrónicos Práctica 1 Tutorial Objetivo Usando un diseño especialmente simple, seguir con él el flujo básico, descargando el diseño sobre la placa y verificando en ella su funcionamiento. Circuito utilizado Se trata

Más detalles

Práctica 4 Diseño de circuitos con puertas lógicas.

Práctica 4 Diseño de circuitos con puertas lógicas. Práctica 4 Diseño de circuitos con puertas lógicas. Descripción de la práctica: -Esta práctica servirá para afianzar los conocimientos adquiridos hasta ahora de simplificación, e implementación de funciones,

Más detalles

Tema I. Sistemas Numéricos y Códigos Binarios

Tema I. Sistemas Numéricos y Códigos Binarios Tema I. Sistemas Numéricos y Códigos Binarios Números binarios. Aritmética binaria. Números en complemento-2. Códigos binarios (BCD, alfanuméricos, etc) Números binarios El bit. Representación de datos

Más detalles

28 = 16 + 8 + 4 + 0 + 0 = 11100 1

28 = 16 + 8 + 4 + 0 + 0 = 11100 1 ELECTRÓNICA DIGITAL 4º ESO Tecnología Introducción Imaginemos que deseamos instalar un sistema electrónico para la apertura de una caja fuerte. Para ello debemos pensar en el número de sensores que nos

Más detalles

PRÁCTICAS DE GESTIÓN GANADERA:

PRÁCTICAS DE GESTIÓN GANADERA: PRÁCTICAS DE GESTIÓN GANADERA: MANEJO DE HOJA DE CÁCULO (EXCEL) 1. INTRODUCCIÓN AL MANEJO DE EXCEL La pantalla del programa consta de una barra de herramientas principal y de una amplia cuadrícula compuesta

Más detalles

Qué son los monomios?

Qué son los monomios? Qué son los monomios? Recordemos qué es una expresión algebraica. Definición Una expresión algebraica es aquella en la que se utilizan letras, números y signos de operaciones. Si se observan las siguientes

Más detalles

LABORATORIO DE ARQUITECTURA DE COMPUTADORES. I. T. I. SISTEMAS / GESTIÓN GUÍA DEL ALUMNO

LABORATORIO DE ARQUITECTURA DE COMPUTADORES. I. T. I. SISTEMAS / GESTIÓN GUÍA DEL ALUMNO LABORATORIO DE ARQUITECTURA DE COMPUTADORES. I. T. I. SISTEMAS / GESTIÓN GUÍA DEL ALUMNO Práctica 2: La Unidad Aritmético - Lógica Objetivos Comprender cómo se realiza un sumador con propagación de acarreo

Más detalles

NemoTPV SAT Manual de usuario 1. NemoTPV SAT APLICACIÓN DE GESTIÓN DE SERVICIO TÉCNICO PARA PUNTOS DE VENTA DE EUSKALTEL

NemoTPV SAT Manual de usuario 1. NemoTPV SAT APLICACIÓN DE GESTIÓN DE SERVICIO TÉCNICO PARA PUNTOS DE VENTA DE EUSKALTEL NemoTPV SAT Manual de usuario 1 NemoTPV SAT APLICACIÓN DE GESTIÓN DE SERVICIO TÉCNICO PARA PUNTOS DE VENTA DE EUSKALTEL NemoTPV SAT Manual de usuario 2 Ante un problema, lo importante no es saber solucionarlo,

Más detalles

Clase 02: Representación de datos

Clase 02: Representación de datos Arquitectura de Computadores y laboratorio Clase 02: Representación de datos Departamento de Ingeniería de Sistemas Universidad de Antioquia 2015-2 Contenido 1 2 Representación de la Información Y sistemas

Más detalles

Operaciones Booleanas y Compuertas Básicas

Operaciones Booleanas y Compuertas Básicas Álgebra de Boole El álgebra booleana es la teoría matemática que se aplica en la lógica combinatoria. Las variables booleanas son símbolos utilizados para representar magnitudes lógicas y pueden tener

Más detalles

Universidad Católica del Maule. Fundamentos de Computación Especificación de tipos de datos ESPECIFICACIÓN ALGEBRAICA DE TIPOS DE DATOS

Universidad Católica del Maule. Fundamentos de Computación Especificación de tipos de datos ESPECIFICACIÓN ALGEBRAICA DE TIPOS DE DATOS Especificación algebraica ESPECIFICACIÓN ALGEBRAICA DE TIPOS DE DATOS Un tipo abstracto de datos se determina por las operaciones asociadas, incluyendo constantes que se consideran como operaciones sin

Más detalles

Los polinomios. Un polinomio es una expresión algebraica con una única letra, llamada variable. Ejemplo: 9x 6 3x 4 + x 6 polinomio de variable x

Los polinomios. Un polinomio es una expresión algebraica con una única letra, llamada variable. Ejemplo: 9x 6 3x 4 + x 6 polinomio de variable x Los polinomios Los polinomios Un polinomio es una expresión algebraica con una única letra, llamada variable. Ejemplo: 9x 6 3x 4 + x 6 polinomio de variable x Elementos de un polinomio Los términos: cada

Más detalles

Tema 2. Diseño del repertorio de instrucciones

Tema 2. Diseño del repertorio de instrucciones Soluciones a los problemas impares Tema 2. Diseño del repertorio de instrucciones Arquitectura de Computadores Curso 2009-2010 Tema 2: Hoja: 2 / 16 Tema 2: Hoja: 3 / 16 Base teórica Al diseñar un computador,

Más detalles

Matemáticas para la Computación

Matemáticas para la Computación Matemáticas para la Computación José Alfredo Jiménez Murillo 2da Edición Inicio Índice Capítulo 1. Sistemas numéricos. Capítulo 2. Métodos de conteo. Capítulo 3. Conjuntos. Capítulo 4. Lógica Matemática.

Más detalles

Los sistemas de numeración se clasifican en: posicionales y no posicionales.

Los sistemas de numeración se clasifican en: posicionales y no posicionales. SISTEMAS NUMERICOS Un sistema numérico es un conjunto de números que se relacionan para expresar la relación existente entre la cantidad y la unidad. Debido a que un número es un símbolo, podemos encontrar

Más detalles

SOLECMEXICO Página 1 DISEÑO DE CIRCUITOS A PARTIR DE EXPRESIONES BOOLEANAS

SOLECMEXICO Página 1 DISEÑO DE CIRCUITOS A PARTIR DE EXPRESIONES BOOLEANAS SOLECMEXICO Página 1 DISEÑO DE CIRCUITOS A PARTIR DE EXPRESIONES BOOLEANAS Si la operación de un circuito se define por medio de una expresión booleana, es posible construir un diagrama de circuito lógico

Más detalles

TEMA 5. SISTEMAS COMBINACIONALES MSI.

TEMA 5. SISTEMAS COMBINACIONALES MSI. Fundamentos de Computadores. Circuitos Combinacionales MSI T5-1 TEMA 5. SISTEMAS COMBINACIONALES MSI. INDICE: INTRODUCCIÓN DECODIFICADORES o REALIZACIÓN DE FUNCIONES CON DECODIFICADORES CONVERTIDORES DE

Más detalles

Cálculo Simbólico también es posible con GeoGebra

Cálculo Simbólico también es posible con GeoGebra www.fisem.org/web/union ISSN: 1815-0640 Número 34. Junio de 2013 páginas 151-167 Coordinado por Agustín Carrillo de Albornoz Cálculo Simbólico también es posible con GeoGebra Antes de exponer las posibilidades

Más detalles

INSTITUTO DE ELECTRÓNICA Y COMPUTACIÓN

INSTITUTO DE ELECTRÓNICA Y COMPUTACIÓN INSTITUTO DE ELECTRÓNICA Y COMPUTACIÓN SISTEMAS DIGITALES Tutorial para el Diseño y Simulación de un circuito digital con VHDL, Síntesis e Implementación en un FPGA Profesor: M. C. Felipe Santiago Espinosa

Más detalles

Circuitos Digitales CON José Manuel Ruiz Gutiérrez

Circuitos Digitales CON José Manuel Ruiz Gutiérrez Circuitos Digitales CON José Manuel Ruiz Gutiérrez j.m.r.gutierrez@gmail.com PRÁCTICAS DE CIRCUITOS DIGITALES Circuitos digitales básicos 1. Simulación de operadores lógicos básicos. Realizar la simulación

Más detalles

Programa para el Mejoramiento de la Enseñanza de la Matemática en ANEP Proyecto: Análisis, Reflexión y Producción. Fracciones

Programa para el Mejoramiento de la Enseñanza de la Matemática en ANEP Proyecto: Análisis, Reflexión y Producción. Fracciones Fracciones. Las fracciones y los números Racionales Las fracciones se utilizan cotidianamente en contextos relacionados con la medida, el reparto o como forma de relacionar dos cantidades. Tenemos entonces

Más detalles

GUÍA DEL ALUMNO. 1.- Estructura y contenido de la página web. 2.- Cómo usar esta página web. 3.- Contenidos. 4.- Metodología didáctica.

GUÍA DEL ALUMNO. 1.- Estructura y contenido de la página web. 2.- Cómo usar esta página web. 3.- Contenidos. 4.- Metodología didáctica. GUÍA DEL ALUMNO. 1.- Estructura y contenido de la página web 2.- Cómo usar esta página web. 3.- Contenidos. 4.- Metodología didáctica. 1 GUÍA DEL MONITOR 1.- Estructura y contenido de la página web La

Más detalles

Capítulo 6. Introducción a la POO

Capítulo 6. Introducción a la POO Capítulo 6. Introducción a la POO 6. 1. Clases, Objetos y Métodos Definición La Programación Orientada a Objetos (POO) es una forma especial de programar, más cercana a cómo expresaríamos las cosas en

Más detalles

Lección 24: Lenguaje algebraico y sustituciones

Lección 24: Lenguaje algebraico y sustituciones LECCIÓN Lección : Lenguaje algebraico y sustituciones En lecciones anteriores usted ya trabajó con ecuaciones. Las ecuaciones expresan una igualdad entre ciertas relaciones numéricas en las que se desconoce

Más detalles

Unidad 3 Direccionamiento IP (Subnetting)

Unidad 3 Direccionamiento IP (Subnetting) Unidad 3 Direccionamiento IP (Subnetting) Las direcciones denominadas IPv4 se expresan por combinaciones de números de hasta 32 bits que permiten hasta 2 32 posibilidades (4.294.967.296 en total). Los

Más detalles

Sistemas de numeración y aritmética binaria

Sistemas de numeración y aritmética binaria Sistemas de numeración y aritmética binaria Héctor Antonio Villa Martínez Programa de Ciencias de la Computación Universidad de Sonora Este reporte consta de tres secciones. Primero, la Sección 1 presenta

Más detalles

MATEMÁTICAS para estudiantes de primer curso de facultades y escuelas técnicas

MATEMÁTICAS para estudiantes de primer curso de facultades y escuelas técnicas Universidad de Cádiz Departamento de Matemáticas MATEMÁTICAS para estudiantes de primer curso de facultades y escuelas técnicas Tema 4 La recta en el plano Elaborado por la Profesora Doctora María Teresa

Más detalles

TEMA 4 FRACCIONES MATEMÁTICAS 1º ESO

TEMA 4 FRACCIONES MATEMÁTICAS 1º ESO TEMA 4 FRACCIONES Criterios De Evaluación de la Unidad 1 Utilizar de forma adecuada las fracciones para recibir y producir información en actividades relacionadas con la vida cotidiana. 2 Leer, escribir,

Más detalles

Transformación de binario a decimal. Transformación de decimal a binario. ELECTRÓNICA DIGITAL

Transformación de binario a decimal. Transformación de decimal a binario. ELECTRÓNICA DIGITAL ELECTRÓNICA DIGITAL La electrónica es la rama de la ciencia que se ocupa del estudio de los circuitos y de sus componentes, que permiten modificar la corriente eléctrica amplificándola, atenuándola, rectificándola

Más detalles

Proyecto de Diseño 2

Proyecto de Diseño 2 Altera University Program 1 Proyecto de Diseño 2 Números y Visualizadores El objetivo de esta práctica es diseñar circuitos combinacionales que realicen conversiones numéricas de binario a decimal y adición

Más detalles

Ecuaciones de primer grado con dos incógnitas

Ecuaciones de primer grado con dos incógnitas Ecuaciones de primer grado con dos incógnitas Si decimos: "las edades de mis padres suman 120 años", podemos expresar esta frase algebraicamente de la siguiente forma: Entonces, Denominamos x a la edad

Más detalles

Representación de Datos. Representación de datos numéricos. Representación de datos caracteres. Representación de otros tipos de datos

Representación de Datos. Representación de datos numéricos. Representación de datos caracteres. Representación de otros tipos de datos Representación de Datos Representación de datos numéricos Representación de datos caracteres Representación de otros tipos de datos Sistemas de números Base Esquema posicional => N = n4 * b4 + n3 * b3

Más detalles

Tema 2 : Códigos Binarios

Tema 2 : Códigos Binarios Tema 2 : Códigos Binarios Objetivo: Conocer diferentes códigos binarios Conocer algunos códigos de detección y corrección de errores. Códigos alfanuméricos 1 Códigos Binarios A la representación de cifras,

Más detalles

UN SIMULADOR DE UNA MAQUINA COMPUTADORA COMO HERRAMIENTA PARA LA ENSEÑANZA DE LA ARQUITECTURA DE COMPUTADORAS

UN SIMULADOR DE UNA MAQUINA COMPUTADORA COMO HERRAMIENTA PARA LA ENSEÑANZA DE LA ARQUITECTURA DE COMPUTADORAS UN SIMULADOR DE UNA MAQUINA COMPUTADORA COMO HERRAMIENTA PARA LA ENSEÑANZA DE LA ARQUITECTURA DE COMPUTADORAS Autores GROSSI, María Delia (mdgrossi@mara.fi.uba.ar) JIMÉNEZ REY, M. Elizabeth (ejimenez@mara.fi.uba.ar)

Más detalles

BrowserSQL 4 versión 2 - TUTORIAL

BrowserSQL 4 versión 2 - TUTORIAL BrowserSQL 4 versión 2 - TUTORIAL LAURA NOUSSAN LETTRY (Mendoza, Argentina 2015) aviso legal El presente libro electrónico se distribuye bajo Attribution-NonCommercialNoDerivs 3.0 Unported INDICE INTRODUCCIÓN

Más detalles

ELECTRÓNICA DIGITAL. Una señal es la variación de una magnitud que permite transmitir información. Las señales pueden ser de dos tipos:

ELECTRÓNICA DIGITAL. Una señal es la variación de una magnitud que permite transmitir información. Las señales pueden ser de dos tipos: ELECTRÓNICA DIGITAL INDICE 1. TIPOS DE SEÑALES... 3 1.1. SEÑALES ANALÓGICAS... 3 1.2. SEÑALES DIGITALES... 3 2. REPRESENTACIÓN DE LAS SEÑALES DIGITALES... 3 2.1. CRONOGRAMAS... 3 2.2. TABLA DE VERDAD...

Más detalles

TEMA 3: IMPLEMENTACIÓN DE CIRCUITOS COMBINACIONALES CON PUERTAS LÓGICAS.

TEMA 3: IMPLEMENTACIÓN DE CIRCUITOS COMBINACIONALES CON PUERTAS LÓGICAS. TEM 3: IMPLEMENTCIÓN DE CIRCUITOS COMBINCIONLES CON PUERTS LÓGICS. 3.1. Representación de funciones: mapas de Karnaugh de hasta 5 variables. El Mapa de Karnaugh es una representación gráfica de una función

Más detalles

MÉTODO DEL CAMBIO DE BASE PARA CÁLCULO MANUAL DE SUBREDES CON IP V4.0

MÉTODO DEL CAMBIO DE BASE PARA CÁLCULO MANUAL DE SUBREDES CON IP V4.0 MÉTODO DEL CAMBIO DE BASE PARA CÁLCULO MANUAL DE SUBREDES CON IP V4.0 José Antonio Guijarro Guijarro Profesor de Secundaria Especialidad de Informática Profesor Técnico de F.P. Especialidad de Sistemas

Más detalles

Anexo B. Comunicaciones entre mc y PC

Anexo B. Comunicaciones entre mc y PC Anexo B Comunicaciones entre mc y PC En este apartado se hará hincapié en los comandos para el manejo del módulo de comunicaciones desde el PC. Conociendo estos comando se podrá realizar una aplicación

Más detalles

Práctica I Modelado y simulación de una máquina expendedora de refrescos

Práctica I Modelado y simulación de una máquina expendedora de refrescos Práctica I Modelado y simulación de una máquina expendedora de refrescos Departamento de Ingeniería Electrónica de Sistemas Informáticos y Automática 2 1.1. Objetivos. En esta práctica vamos a modelar

Más detalles

Tema 3: Representación y minimización de

Tema 3: Representación y minimización de Tema 3: Representación y minimización de funciones lógicas 3.. Teoremas y postulados del álgebra de Boole Definiciones El álgebra de Boole se utiliza para la resolución de problemas de tipo lógico-resolutivo,

Más detalles

Modelos y Bases de Datos

Modelos y Bases de Datos Modelos y Bases de Datos MODELOS Y BASES DE DATOS 1 Sesión No. 10 Nombre: Álgebra Relacional Contextualización En qué consiste el álgebra relacional? Se ha planteado hasta el momento cada uno de los procesos

Más detalles

Wise Up Kids! En matemáticas, a la división de un objeto o unidad en varias partes iguales o a un grupo de esas divisiones se les denomina fracción.

Wise Up Kids! En matemáticas, a la división de un objeto o unidad en varias partes iguales o a un grupo de esas divisiones se les denomina fracción. Fracciones o Quebrados En matemáticas, a la división de un objeto o unidad en varias partes iguales o a un grupo de esas divisiones se les denomina fracción. Las fracciones pueden ser representadas de

Más detalles

I. ALGEBRA DE BOOLE. c) Cada operación es distributiva con respecto a la otra: a. ( b + c) = a. b + a. c a + ( b. c ) = ( a + b ).

I. ALGEBRA DE BOOLE. c) Cada operación es distributiva con respecto a la otra: a. ( b + c) = a. b + a. c a + ( b. c ) = ( a + b ). I. I.1 DEFINICION. El Algebra de Boole es toda clase o conjunto de elementos que pueden tomar dos valores perfectamente diferenciados, que designaremos por 0 y 1 y que están relacionados por dos operaciones

Más detalles

Correspondencias entre taxonomías XBRL y ontologías en OWL Unai Aguilera, Joseba Abaitua Universidad de Deusto, EmergiaTech

Correspondencias entre taxonomías XBRL y ontologías en OWL Unai Aguilera, Joseba Abaitua Universidad de Deusto, EmergiaTech Correspondencias entre taxonomías XBRL y ontologías en OWL Unai Aguilera, Joseba Abaitua Universidad de Deusto, EmergiaTech Resumen Todo documento XBRL contiene cierta información semántica que se representa

Más detalles

Los números racionales

Los números racionales Los números racionales Los números racionales Los números fraccionarios o fracciones permiten representar aquellas situaciones en las que se obtiene o se debe una parte de un objeto. Todas las fracciones

Más detalles

Boletín de Problemas de Circuitos Combinacionales. Fundamentos de Electrónica 3º Curso Ingeniería Industrial

Boletín de Problemas de Circuitos Combinacionales. Fundamentos de Electrónica 3º Curso Ingeniería Industrial Boletín de Problemas de Circuitos Combinacionales Fundamentos de Electrónica 3º Curso Ingeniería Industrial 2 1. Utilizar el mapa de Karnaugh para implementar la forma suma de productos mínima de la función

Más detalles

SISTEMAS DE NUMERACIÓN. Sistema decimal

SISTEMAS DE NUMERACIÓN. Sistema decimal SISTEMAS DE NUMERACIÓN Sistema decimal Desde antiguo el Hombre ha ideado sistemas para numerar objetos, algunos sistemas primitivos han llegado hasta nuestros días, tal es el caso de los "números romanos",

Más detalles

OPERADORES LÓGICOS Y DE COMPARACIÓN EN PHP. PRIORIDADES. EJEMPLOS. EJERCICIOS RESUELTOS. (CU00818B)

OPERADORES LÓGICOS Y DE COMPARACIÓN EN PHP. PRIORIDADES. EJEMPLOS. EJERCICIOS RESUELTOS. (CU00818B) APRENDERAPROGRAMAR.COM OPERADORES LÓGICOS Y DE COMPARACIÓN EN PHP. PRIORIDADES. EJEMPLOS. EJERCICIOS RESUELTOS. (CU00818B) Sección: Cursos Categoría: Tutorial básico del programador web: PHP desde cero

Más detalles

Sistemas Electrónicos Industriales II EC2112

Sistemas Electrónicos Industriales II EC2112 Sistemas Electrónicos Industriales II EC2112 Prof. Julio Cruz Departamento de Electrónica Trimestre Enero-Marzo 2009 Sección 2 Previamente Fundamentos de los circuitos eléctricos Análisis de redes resistivas

Más detalles

VECTORES. Módulo, dirección y sentido de un vector fijo En un vector fijo se llama módulo del mismo a la longitud del segmento que lo define.

VECTORES. Módulo, dirección y sentido de un vector fijo En un vector fijo se llama módulo del mismo a la longitud del segmento que lo define. VECTORES El estudio de los vectores es uno de tantos conocimientos de las matemáticas que provienen de la física. En esta ciencia se distingue entre magnitudes escalares y magnitudes vectoriales. Se llaman

Más detalles

ELO311 Estructuras de Computadores Digitales. Unidad Aritmética

ELO311 Estructuras de Computadores Digitales. Unidad Aritmética ELO3 Estructuras de Computadores Digitales Unidad Aritmética Tomás Arredondo Vidal Este material está basado en: material de apoyo del texto de David Patterson, John Hennessy, "Computer Organization &

Más detalles

RAZONAMIENTOS LÓGICOS EN LOS PROBLEMAS DE MATEMÁTICAS

RAZONAMIENTOS LÓGICOS EN LOS PROBLEMAS DE MATEMÁTICAS RAZONAMIENTOS LÓGICOS EN LOS PROBLEMAS DE MATEMÁTICAS AUTORÍA SERGIO BALLESTER SAMPEDRO TEMÁTICA MATEMÁTICAS ETAPA ESO, BACHILLERATO Resumen En este artículo comienzo definiendo proposición y los distintos

Más detalles

Figura 1.4. Elementos que integran a la Tecnología de Información.

Figura 1.4. Elementos que integran a la Tecnología de Información. 1.5. Organización, estructura y arquitectura de computadoras La Gráfica siguiente muestra la descomposición de la tecnología de información en los elementos que la conforman: Figura 1.4. Elementos que

Más detalles

Práctica 1. Compuertas Lógicas

Práctica 1. Compuertas Lógicas USLP FI Laboratorio de Sistemas Digitales Práctica 1 1.1 Objetivo Práctica 1 Compuertas Lógicas Conocer el funcionamiento, conexión y utilización de las compuertas lógicas ND, OR, NOT, NND, NOR, E -OR

Más detalles

Centro de Capacitación en Informática

Centro de Capacitación en Informática Fórmulas y Funciones Las fórmulas constituyen el núcleo de cualquier hoja de cálculo, y por tanto de Excel. Mediante fórmulas, se llevan a cabo todos los cálculos que se necesitan en una hoja de cálculo.

Más detalles

Lección 9: Polinomios

Lección 9: Polinomios LECCIÓN 9 c) (8 + ) j) [ 9.56 ( 9.56)] 8 q) (a x b) d) ( 5) 4 k) (6z) r) [k 0 (k 5 k )] e) (. 0.) l) (y z) s) (v u ) 4 f) ( 5) + ( 4) m) (c d) 7 t) (p + q) g) (0 x 0.) n) (g 7 g ) Lección 9: Polinomios

Más detalles

UNIDAD 6. POLINOMIOS CON COEFICIENTES ENTEROS

UNIDAD 6. POLINOMIOS CON COEFICIENTES ENTEROS UNIDAD 6. POLINOMIOS CON COEFICIENTES ENTEROS Unidad 6: Polinomios con coeficientes enteros. Al final deberás haber aprendido... Expresar algebraicamente enunciados sencillos. Extraer enunciados razonables

Más detalles