Los dos HDLs: : VHDL, Verilog. DMII Síntesis funcional Síntesis RTL A. Diéguez. Dominios de síntesis

Tamaño: px
Comenzar la demostración a partir de la página:

Download "Los dos HDLs: : VHDL, Verilog. DMII Síntesis funcional Síntesis RTL A. Diéguez. Dominios de síntesis"

Transcripción

1 Los dos HDLs: : VHDL, Verilog Los dos HDLs: : VHDL, Verilog Dominios de síntesis Dominios de síntesis

2 Dominios de síntesis Dominios de síntesis Por qué diseñar en alto nivel? Por qué diseñar en alto nivel?

3 funcional funcional: : Scheduling funcional: : Scheduling funcional: : ALU Allocation

4 funcional: : ALU Allocation funcional: : Register Allocation funcional: : Register Allocation funcional: : Scheduling

5 de Alto nivel/behavioral/arquitectura/funcional de Alto Nivel Especificationes Entrada Especificación funcional Criterios de diseño (timing, prestaciones, coste, consumo, #pines, etc) Una función de optimización Una librería de módulos representando las funciones disponibles Objetivos Generar un diseño RTL que Implemente la función especificada Satisfaga los criterios de diseño Conduzca a una optimización de la función coste Coste= a Area + b Tiempo_ejecución + Salida Estructura RTL Controlador (capturado normalmente simbólicamente como SM) Otros atributos, tales como información geométrica, que guíen a las siguientes tareas lujo de síntesis de alto nivel Scheduling Schedulling: asignación de cada operación a un intervalo de tiempo correspondiente a un ciclo de reloj o intervalo Resource Allocation: Selección del tipo de harware y el número de componentes Module binding: Asignación de operaciones a los componentes harware del control: Diseño del estilo de control y estrategia de reloj

6 Scheduling: : Ejemplo Scheduling: : Ejemplo Scheduling: : Ejemplo Allocation y binding Allocation : determinación del tipo y número de recursos Elementos funcionales Elementos de almacenamiento Conexiones, busses Binding : Asignación de recursos a componentes Operaciones a componentes funcionales Valores a almacenar a componentes de almacenamiento Transferencias de datos a buses/líneas Puntos clave: Compartición de recursos Objetivos en la optimización Minimizar coste total de recursos, interconexiones Cumplir los criterios de retraso (restricciones en caminos críticos) Técnicas Constructivas: comenzar con un datapath vacio y añadir componentes funcionales, de almacenamiento e interconexiones a medida que se necesitan de acuerdo al scheduling. Algoritmos tipo Greedy: realizar allocation para un paso de tiempo a la vez Basados en reglas: usados para seleccionar el tipo y número de unidades funcionales, especialmente antes del scheduling Basadas en teoría de grafos: las sub-tareas se asocian a problemas bien definidos en la teoría de grafos Clique partitioning Algoritmo Left-edge

7 Clique-partitioning Left-edge edge algorithm de lógica/rtl Especificationes lujo de síntesis lógica Descripción RTL (Verilog, VHDL) Independiente Tecnología Librería Dependiente Tecnología Optimización Lógica Red Booleana Technology Mapping Gate-level Netlist Minimizar # de literales actorización Extracción el. Comunes Opt. temporal (reestructurar red) Estimar coste Velocidad Area Consumo DMII La Lógica se divide en dos partes: Optimización independiente de la tecnología Determinación de la estructura lógica Estimación de coste (indep. de la tecnología) Optimización dependiente de la tecnología (technology mapping) Asociación a puertas de la librería Modelo detallado de coste específico para la tecnología

8 Two-Level vs. Multi-Level Logic Synthesis Technology mapping Suma de productos: x = A D + A E + B D + B E + C D + C E + G 6 AND3 + 1 OR7 (podría no existir!) 25 cables (19 literales + 6 líneas internas) A D 1 A E 2 B D 3 B E C D C E x A B C D E G Necesaria para SCs, Gas, PGAs orma factorizada (SOP(SOP( ))): x = (A + B + C) (D + E) + G 1 OR3 + 2 OR2 + 1 AND3 1 cables (7 literales + 3 líneas internas) 4 x t 1 t 3 + fgh d+e t 4 at 2 +c b+h d e a f c g h G Minimizar # términos producto. Quine-McCluskey. Espresso (SOP) Minimizar # literales, puertas, retraso. actorización algebraica. SIS (forma factorizada) b h Technology mapping: Cual es el problema? Technology mapping (área( rea) t 1 = d + e; t 2 = b + h; t 3 = at 2 + c; t 4 = t 1 t 3 + fgh; = t 4 ; f g d e h b a inv(1) nand2(2) nand3 (3) f g t 1 = d + e; d t 2 = b + h; t 3 = at 2 + c; e t 4 = t 1 t 3 + fgh; = t 4 ; h b a Coste total = 23 c inv(1) nand2(2) nand3 (3) nor(2) aoi21 (3) xor (5) nor3 (3) oai22 (4) c nor(2) aoi21 (3) xor (5) nor3 (3) oai22 (4) t 1 = d + e; t 2 = b + h; t 3 = at 2 + c; t 4 = t 1 t 3 + fgh; = t 4 ; Area total = 19 f g d e h b a c and2(3) or2(3) or2(3) nand2(2) inv(1) nand2(2) aoi22(4) t 1 = d + e; t 2 = b + h; t 3 = at 2 + c; t 4 = t 1t 3 + fgh; = t 4 ; Area total = 15 f g d e h b a c oai21 (3) oai21(3) inv(1) nand3(3) nand2(2) and2(3)

9 Technology mapping (delay) Area Load-Dependent Delay INV (1) INV (1) NAND2 (2) NAND3 (3) AOI21 NAND4 Area INV (1) Load-Dependent Delay INV (1) NAND2 (2) NAND3 (3) AOI21 NAND4 NAND2 (2) NAND3 (3) INV (2) NAND2 (4) NAND3 (5) INV (3) NAND2 (5) NAND3 (7) NAND2 (2) NAND3 (3) AOI21 (3) INV (2) NAND2 (4) NAND3 (5) INV (3) NAND2 (5) NAND3 (7) AOI21 (3) NAND4 (4) 2 INV (3) NAND2 (4) NAND3 (7) INV (5) NAND2 (9) NAND3 (12) AOI21 NAND = 3 MAX(3,) + 2 = 5 1 MAX( 5,1) + 2 = 7 8 MAX( 8,) + 2 =1 NAND4 (4) INV (3) NAND2 (4) NAND3 (7) INV (5) NAND2 (9) NAND3 (12) AOI21 NAND = 3 3 MAX (3,1,) + 3 = 6 MAX (3,,) + 3 = 6 MAX (6,) + 2 = 8 Technology mapping (delay) física Area INV (1) NAND2 (2) NAND3 (3) Load-Dependent Delay INV (1) NAND2 (2) NAND3 (3) AOI21 NAND4 INV (2) NAND2 (4) NAND3 (5) INV (3) NAND2 (5) NAND3 (7) AOI21 (3) NAND4 (4) INV (3) NAND2 (4) NAND3 (7) INV (5) NAND2 (9) NAND3 (12) AOI21 NAND4 3 7 MAX (7,3) + 7 = 14 NAND3 MAX (4,,) + 4 = = 4 MAX (8,) + 5 = 13 NAND3

10 Particionado Particionado recursivo: : min-cut Algorítmos de biparticionado Algorítmos de biparticionado

11 Algorítmos de biparticionado: Ejemplo K-L loorplanning loorplanning loorplanning

12 Placement Placement iterativo: : Simulated annealing Estrategia clásica Recocido simulado Mal placement Buen placement Placement iterativo: : Simulated annealing Placement iterativo: : Simulated annealing

13 Routing Routing Maze Routing Routing detallado: Definiciones y modelos de asignación de capas Entrada: Dos vectores de la misma longitud que representan los pines en ambs lados del canal. Número de capas y modelo de conexión. Salida: Conexión de pines. Minimización de la anchura del canal. Minimización del número de vias. Ejemplo: (13211) (3123) ( = no terminal) Channel routing + Switchbox routing 1. ix the terminals between A & B 2. Route B, C, then D (channel) 3. Route A (switchbox) Lee s Algorithm

14 Left-Edge Channel Routing Algorithm Left-Edge Algorithm Constrained Left-Edge Algorithm

TEMA IV: SÍNTESIS HARDWARE

TEMA IV: SÍNTESIS HARDWARE TEMA IV: SÍNTES HARDWARE Especificaciones Formato intermedio Partición HW/SW LA SÍNTES HARDWARE ES LA TAREA DE PASAR DE UN DOMINIO DE ABSTRACCIÓN A OTRO COMPORTAMIENTO -> ESTRUCTURA Código Implementación

Más detalles

Tema 16 ELECTRÓNICA DIGITAL LENGUAJES DE DESCRIPCIÓN DE LOS SISTEMAS DIGITALES (PARTE 1)

Tema 16 ELECTRÓNICA DIGITAL LENGUAJES DE DESCRIPCIÓN DE LOS SISTEMAS DIGITALES (PARTE 1) ELECTRÓNICA DIGITAL Tema 16 LENGUAJES DE DESCRIPCIÓN DE LOS SISTEMAS DIGITALES (PARTE 1) IMPORTANCIA DE LOS ESQUEMAS Los circuitos y sistemas electrónicos digitales cuya complejidad es limitada, se pueden

Más detalles

IV. Metodologías de diseño de alto nivel

IV. Metodologías de diseño de alto nivel IV. Metodologías de diseño de alto nivel 2. Dominios de descripción (Gajski & Kuhn) 3. Metodología de diseño VHDL 4. Introducción al VHDL 5. Entity, Architecture Ley de Moore (Gordon Moore, 1964) la cantidad

Más detalles

Síntesis arquitectónica y de alto nivel

Síntesis arquitectónica y de alto nivel Síntesis arquitectónica y de alto nivel Módulo 1. Concepto y fases de la Síntesis de Alto Nivel 1 Diseño de circuitos: la complejidad Tratamiento de problemas de complejidad creciente Rápido desarrollo

Más detalles

VHDL. Lenguaje de descripción hardware Introducción e historia

VHDL. Lenguaje de descripción hardware Introducción e historia VHDL. Lenguaje de descripción hardware Introducción e historia 2007 A.G.O. All Rights Reserved s descriptivos y niveles de abstracción s descriptivos: Comportamiento Se realiza la función sin información

Más detalles

Miniproyecto I Diseño microelectrónico de un multiplicador de cuatro bits

Miniproyecto I Diseño microelectrónico de un multiplicador de cuatro bits Departamento de Ingeniería Electrónica de Sistemas Informáticos y Automática 1 Miniproyecto I Diseño microelectrónico de un multiplicador de cuatro bits 1.1. Introducción Un multiplicador es un circuito

Más detalles

Índice. Segundo cuatrimestre Fundamentos de los Computadores. Tema 1: conceptos generales sobre sistemas digitales. FC_2P Tema 1 ISI - ESCET - URJC 1

Índice. Segundo cuatrimestre Fundamentos de los Computadores. Tema 1: conceptos generales sobre sistemas digitales. FC_2P Tema 1 ISI - ESCET - URJC 1 egundo cuatrimestre Fundamentos de los Computadores Tema 1: conceptos generales sobre sistemas digitales José Ignacio Martínez Torre Grupo de Diseño ardware oftware DIET ECET URJC Índice! Bibliografía

Más detalles

Scheduling Problem. Cuándo y dónde debo hacer cada trabajo?

Scheduling Problem. Cuándo y dónde debo hacer cada trabajo? Scheduling Problem Cuándo y dónde debo hacer cada trabajo? Ejemplos de problemas de asignación de recursos Fabricación de varios tipos de productos Asignación de turnos de trabajo Inversión financiera

Más detalles

Laboratorio de Diseño de Sistemas Digitales

Laboratorio de Diseño de Sistemas Digitales Proceso de Diseño Laboratorio de Diseño de Sistemas Digitales I Semestre 2008 Ing. Gabriela Ortiz L. Diseño Implementación Depuración Diseño: Concepto inicial. Cuál es la función que lleva a cabo el objeto?

Más detalles

MATERIAL COMPLEMENTARIO TEMA I Niveles de abstracción en la descripción de sistemas digitales

MATERIAL COMPLEMENTARIO TEMA I Niveles de abstracción en la descripción de sistemas digitales MATERIAL COMPLEMENTARIO TEMA I Niveles de abstracción en la descripción de sistemas digitales Niveles de Abstracción en la Descripción de Sistemas Digitales 1 Metodologías de Diseño y Herramientas de CAD

Más detalles

ELO211: Sistemas Digitales. Tomás Arredondo Vidal

ELO211: Sistemas Digitales. Tomás Arredondo Vidal ELO211: Sistemas Digitales Tomás Arredondo Vidal Este material está basado en: textos y material de apoyo: Contemporary Logic Design 1 st / 2 nd edition. Gaetano Borriello and Randy Katz. Prentice Hall,

Más detalles

UNIVERSIDAD DE SEVILLA Dpto. de Ingeniería Electrónica. Introducción a los lenguajes HDL y conceptos. Octubre de 2007

UNIVERSIDAD DE SEVILLA Dpto. de Ingeniería Electrónica. Introducción a los lenguajes HDL y conceptos. Octubre de 2007 UNIVERSIDAD DE SEVILLA Dpto. de Ingeniería Electrónica AREA DE TECNOLOGIA ELECTRONICA VHDL Introducción al lenguaje VHDL Introducción a los lenguajes HDL y conceptos básicos de VHDL Octubre de 2007 Tema

Más detalles

SINTESIS Y DESCRIPCIÓN DE CIRCUITOS DIGITALES UTILIZANDO VHDL ANTECEDENTES

SINTESIS Y DESCRIPCIÓN DE CIRCUITOS DIGITALES UTILIZANDO VHDL ANTECEDENTES ANTECEDENTES En los últimos diez años la industria electrónica ha tenido una gran evolución en el desarrollo de sistemas digitales; desde computadoras personales, sistemas de audio y vídeo hasta dispositivos

Más detalles

Diseño Lógico I Facultad de Ciencias Exactas y Tecnología UNT. LENGUAJES DE DESCRIPCIÓN DE HARDWARE

Diseño Lógico I Facultad de Ciencias Exactas y Tecnología UNT. LENGUAJES DE DESCRIPCIÓN DE HARDWARE LENGUAJES DE DESCRIPCIÓN DE HARDWARE METODOS PARA DESCRIBIR CIRCUITOS LÓGICOS Descripción verbal Tablas de verdad Diagrama esquemático Expresiones del álgebra booleana Diagrama de tiempos Lenguajes de

Más detalles

Operaciones Booleanas y Compuertas Básicas

Operaciones Booleanas y Compuertas Básicas Álgebra de Boole El álgebra booleana es la teoría matemática que se aplica en la lógica combinatoria. Las variables booleanas son símbolos utilizados para representar magnitudes lógicas y pueden tener

Más detalles

Técnicas de Programación Hardware: CAD para FPGAs y CPLDs. Clase 1: Lógica Configurable

Técnicas de Programación Hardware: CAD para FPGAs y CPLDs. Clase 1: Lógica Configurable Técnicas de Programación Hardware: CAD para FPGAs y CPLDs Clase 1: Lógica Configurable Por: Nelson Acosta & Daniel Simonelli UNICEN - Tandil - 1999 1 Organización del curso Clases teórico/prácticas: 1

Más detalles

CURSO DISEÑO DE SISTEMAS DIGITALES MEDIANTE VHDL PARA SU IMPLEMENTACIÓN CON FPGAS. 40 horas (15 horas teoría + 25 horas práctica)

CURSO DISEÑO DE SISTEMAS DIGITALES MEDIANTE VHDL PARA SU IMPLEMENTACIÓN CON FPGAS. 40 horas (15 horas teoría + 25 horas práctica) CURSO DISEÑO DE SISTEMAS DIGITALES MEDIANTE VHDL PARA SU IMPLEMENTACIÓN CON FPGAS 40 horas (15 horas teoría + 25 horas práctica) OBJETIVOS Aprendizaje del lenguaje VHDL para el diseño de sistemas digitales

Más detalles

Administración de proyectos. Organizar, planificar y programar los proyectos de software

Administración de proyectos. Organizar, planificar y programar los proyectos de software Administración de proyectos Organizar, planificar y programar los proyectos de software Administración de proyectos Trata de las actividades que hay que realizar para asegurar que el software se entregará

Más detalles

concepto y fases de la SAN

concepto y fases de la SAN concepto y fases de la SAN Síntesis Arquitectónica y de Alto Nivel José Manuel Mendías Cuadros Dpto. Arquitectura de Computadores y Automática Universidad Complutense de Madrid diseño de circuitos: la

Más detalles

WAN y Enrutamiento WAN

WAN y Enrutamiento WAN WAN y Enrutamiento WAN El asunto clave que separa a las tecnologías WAN de las LAN es la capacidad de crecimiento, no tanto la distancia entre computadoras Para crecer, la WAN consta de dispositivos electrónicos

Más detalles

Curso Completo de Electrónica Digital

Curso Completo de Electrónica Digital CURSO Curso Completo de Electrónica Digital Departamento de Electronica y Comunicaciones Universidad Pontifica de Salamanca en Madrid Prof. Juan González Gómez Capítulo 4 CIRCUITOS COMBINACIONALES 4.1.

Más detalles

Dispositivos Lógicos Programables (FPGAs) Guillermo Güichal Emtech www.emtech.com.ar

Dispositivos Lógicos Programables (FPGAs) Guillermo Güichal Emtech www.emtech.com.ar Dispositivos Lógicos Programables (FPGAs) Guillermo Güichal Emtech www.emtech.com.ar Temario Introducción Circuitos Digitales FPGAs Flujo y Herramientas de Diseño Diseño para Síntesis Simulación Ejemplos

Más detalles

Simulín. Qué es Simulín? Características. Simulador de circuitos digitales para uso docente. v5.60 (Julio 2014) Función lógica (expresión algebraica)

Simulín. Qué es Simulín? Características. Simulador de circuitos digitales para uso docente. v5.60 (Julio 2014) Función lógica (expresión algebraica) Folleto de presentación Simulín Simulín Simulador de circuitos digitales para uso docente v5.60 (Julio 2014) Características Circuitos Combinacionales Puertas lógicas básicas (NOT, AND, OR, XOR, NAND,

Más detalles

Universidad de Buenos Aires Facultad De Ingeniería. Operaciones Lógicas. [75.40] Algoritmos y Programación I. 2do Cuatrimestre 2010

Universidad de Buenos Aires Facultad De Ingeniería. Operaciones Lógicas. [75.40] Algoritmos y Programación I. 2do Cuatrimestre 2010 Universidad de Buenos Aires Facultad De Ingeniería Operaciones Lógicas [75.40] Algoritmos y Programación I 2do Cuatrimestre 2010 Cátedra: Ing. Pablo Guarna Autor: Bernardo Ortega Moncada Índice 1. Introducción

Más detalles

Fundamentos del diseño 3ª edición (2002)

Fundamentos del diseño 3ª edición (2002) Unidades temáticas de Ingeniería del Software Fundamentos del diseño 3ª edición (2002) Facultad de Informática necesidad del diseño Las actividades de diseño afectan al éxito de la realización del software

Más detalles

DIPLOMADO EN TECNOLOGÍAS DE LA INFORMACIÓN

DIPLOMADO EN TECNOLOGÍAS DE LA INFORMACIÓN DIPLOMADO EN TECNOLOGÍAS DE LA INFORMACIÓN MODULO I: Análisis y Diseño de Sistemas El alumno se familiarizará y describirá los conceptos y aspectos fundamentales del Análisis y Diseño Orientado a Objetos

Más detalles

Resolución de problemas en paralelo

Resolución de problemas en paralelo Resolución de problemas en paralelo Algoritmos Paralelos Tema 1. Introducción a la computación paralela (segunda parte) Vicente Cerverón Universitat de València Resolución de problemas en paralelo Descomposición

Más detalles

Diseño Lógico I Facultad de Ciencias Exactas y Tecnología UNT. Introducción. Fabricantes. Elevada complejidad. Facilitar tareas de diseño

Diseño Lógico I Facultad de Ciencias Exactas y Tecnología UNT. Introducción. Fabricantes. Elevada complejidad. Facilitar tareas de diseño Introducción Fabricantes Elevada complejidad Facilitar tareas de diseño Herramientas CAD DESCRIPCIÓN GRÁFICA DEL MODELO DE DISEÑO DE SISTEMAS COMPLEJOS Proceso de diseño Simplificado Antes de realizar

Más detalles

Electrónica Digital. Conceptos Digitales. Dr. Oscar Ruano 2011-2012 1

Electrónica Digital. Conceptos Digitales. Dr. Oscar Ruano 2011-2012 1 Electrónica Digital Conceptos Digitales Dr. Oscar Ruano 2011-2012 1 Magnitudes analógicas y digitales Magnitud Analógica: toma valores continuos: Por ejemplo la temperatura no varía de entre 20ºC y 25ºC

Más detalles

Unidades temáticas de Ingeniería del Software. Fases del proceso de desarrollo 4ª edición (2008)

Unidades temáticas de Ingeniería del Software. Fases del proceso de desarrollo 4ª edición (2008) Unidades temáticas de Ingeniería del Software Fases del proceso de desarrollo 4ª edición (2008) Facultad de Informática organización del desarrollo El ciclo de vida del software abarca el proceso de desarrollo,

Más detalles

BLOQUE 2. (PARTE 3: Tema 1) CONJUNTO CONFIGURABLE DE PUERTAS FPGA (Field Programmable Gate Array) Clasificación y descripción

BLOQUE 2. (PARTE 3: Tema 1) CONJUNTO CONFIGURABLE DE PUERTAS FPGA (Field Programmable Gate Array) Clasificación y descripción SISTEMAS ELECTRÓNICOS DIGITALES OQUE 2 CIRCUITOS DIGITALES CONFIGURAES (PARTE 3: Tema 1) CONJUNTO CONFIGURAE DE PUERTAS Clasificación y descripción Enrique Mandado Pérez María José Moure Rodríguez Circuito

Más detalles

La Unidad Procesadora.

La Unidad Procesadora. La Unidad Procesadora. En un sistema digital complejo, la capa de hardware de la máquina es el nivel más bajo del modelo de capas de un sistema microcomputarizado. La unidad procesadora es una parte del

Más detalles

Laboratorio 4: Uso de una FPGA

Laboratorio 4: Uso de una FPGA Laboratorio 4: Uso de una FPGA Objetivos: Conocer y comprender la estructura interna de una FPGA y su tarjeta de desarrollo que será usada en el laboratorio, y los cuidados y recomendaciones para evitar

Más detalles

Breve Curso de VHDL. M. C. Felipe Santiago Espinosa. Profesor Investigador UTM

Breve Curso de VHDL. M. C. Felipe Santiago Espinosa. Profesor Investigador UTM Breve Curso de VHDL M. C. Felipe Santiago Espinosa Profesor Investigador UTM Noviembre de 2007 1 Orden del curso 1. Introducción al diseño con VHDL. 2. Unidades de diseño en VHDL. 3. Señales. 4. Especificación

Más detalles

Plan docente. Laboratorio de Diseño Digital (16311/17186)

Plan docente. Laboratorio de Diseño Digital (16311/17186) Plan docente Asignatura: Laboratorio de Diseño Digital (16311/17186) Profesores: Andoni Arruti Illarramendi Carlos Amuchástegui Uriarte Izaskun Etxeberria Uztarroz Amaya Ibarra Lasa 1 INDICE 1/ DATOS MATERIA.

Más detalles

Diseño de Circuitos Integrados

Diseño de Circuitos Integrados Tema 7 Diseño de Circuitos Integrados Cómo se ha de diseñar un circuito integrado digital Electrónica. Microelectrónica 1 Contenidos del tema 1. Introducción 2. Metodologías de diseño 1. Semicustom: Predifundidos

Más detalles

CRIPTOGRAFÍA SIMÉTRICA Y ASIMÉTRICA

CRIPTOGRAFÍA SIMÉTRICA Y ASIMÉTRICA CRIPTOGRAFÍA SIMÉTRICA Y ASIMÉTRICA Para generar una transmisión segura de datos, debemos contar con un canal que sea seguro, esto es debemos emplear técnicas de forma que los datos que se envían de una

Más detalles

TEMA 0: Introducción: Aspectos Tecnológicos y Metodológicos del diseño de sistemas

TEMA 0: Introducción: Aspectos Tecnológicos y Metodológicos del diseño de sistemas TEMA 0: Introducción: Aspectos Tecnológicos y Metodológicos del diseño de sistemas Curso 07/08 Departamento de Arquitectura y Tecnología de Sistemas Informáticos - Facultad de Informática - Universidad

Más detalles

Puertas Lógicas. Contenidos. Objetivos

Puertas Lógicas. Contenidos. Objetivos Contenidos Objetivos En esta quincena aprenderás a: Implementar funciones mediante puertas lógicas. Conocer y manejar la simbología de las puertas lógicas. Construir circuitos lógicos en el programa simulador

Más detalles

1. Cuál es el objetivo del Diseño del Sistema de Información? del sistema. información. a. 5. b. 4. c. 3. d. 2. c. Diseño de. b.

1. Cuál es el objetivo del Diseño del Sistema de Información? del sistema. información. a. 5. b. 4. c. 3. d. 2. c. Diseño de. b. 1. Cuál es el objetivo del Diseño del Sistema de Información? a. La definición de la arquitectura del sistema y del entorno tecnológico que le va a dar soporte junto con la especificación detallada de

Más detalles

5.3. Álgebras de Boole y de conmutación. Funciones lógicas

5.3. Álgebras de Boole y de conmutación. Funciones lógicas 5.3. Álgebras de Boole y de conmutación. Funciones lógicas 5.3.1. Algebra de conmutación o algebra booleana 5.3.1.1. Axiomas [ Wakerly 4.1.1 pág. 195] 5.3.1.2. Teoremas de una sola variable [ Wakerly 4.1.2

Más detalles

Capítulo 1: Diseño Estructurado:

Capítulo 1: Diseño Estructurado: Capítulo 1: Diseño Estructurado: Metodología General de Diseño Top-Down Herramientas Tecnologías de implementación Test de Circuitos y Sistemas DEA SED 1-1 Metodologías General de Diseño Análisis del Sistema

Más detalles

TEMA 5. ELECTRÓNICA DIGITAL

TEMA 5. ELECTRÓNICA DIGITAL TEMA 5. ELECTRÓNICA DIGITAL 1. INTRODUCCIÓN Los ordenadores están compuestos de elementos electrónicos cuyas señales, en principio, son analógicas. Pero las señales que entiende el ordenador son digitales.

Más detalles

BUSES. Una comunicación compartida Un conjunto de cables para comunicar múltiples subsistemas. Memoria

BUSES. Una comunicación compartida Un conjunto de cables para comunicar múltiples subsistemas. Memoria BUSES UPCO ICAI Departamento de Electrónica y Automática 1 Qué es un bus? Una comunicación compartida Un conjunto de cables para comunicar múltiples subsistemas Procesador Control Datapath Memoria Entrada

Más detalles

Metodologías de diseño de hardware

Metodologías de diseño de hardware Capítulo 2 Metodologías de diseño de hardware Las metodologías de diseño de hardware denominadas Top-Down, basadas en la utilización de lenguajes de descripción de hardware, han posibilitado la reducción

Más detalles

- Bases de Datos - - Diseño Físico - Luis D. García

- Bases de Datos - - Diseño Físico - Luis D. García - Diseño Físico - Luis D. García Abril de 2006 Introducción El diseño de una base de datos está compuesto por tres etapas, el Diseño Conceptual, en el cual se descubren la semántica de los datos, definiendo

Más detalles

TEMA I INTRODUCCIÓN A LA MICROELECTRÓNICA

TEMA I INTRODUCCIÓN A LA MICROELECTRÓNICA TEMA I INTRODUCCIÓN A LA MICROELECTRÓNICA La Microelectrónica se puede definir como el conjunto de ciencias y técnicas con las que se realizan y fabrican circuitos electrónicos, sobre una pastilla de un

Más detalles

Resolución de Problemas

Resolución de Problemas Resolución de Problemas con algoritmos Colaboratorio de Computación Avanzada (CNCA) 2015 1 / 27 Contenidos 1 Introducción 2 Elementos de algoritmos Elementos Variables Estructuras de Control Condicionales

Más detalles

INSTITUTO POLITÉCNICO NACIONAL ESCUELA SUPERIOR DE INGENIERIA MECANICA Y ELECTRICA INGENIERIA EN COMUNICACIONES Y ELECTRÓNICA ACADEMIA DE COMPUTACIÓN

INSTITUTO POLITÉCNICO NACIONAL ESCUELA SUPERIOR DE INGENIERIA MECANICA Y ELECTRICA INGENIERIA EN COMUNICACIONES Y ELECTRÓNICA ACADEMIA DE COMPUTACIÓN I. P. N. ESIME Unidad Culhuacan INSTITUTO POLITÉCNICO NACIONAL ESCUELA SUPERIOR DE INGENIERIA MECANICA Y ELECTRICA UNIDAD CULHUACAN INGENIERIA EN COMUNICACIONES Y ELECTRÓNICA ACADEMIA DE COMPUTACIÓN LABORATORIO

Más detalles

DE SISTEMAS: ANALÓGICOS:

DE SISTEMAS: ANALÓGICOS: Fundamentos de Electrónica 1 Sistema Digital Paso de mundo analógico a digital Tipos de Sistemas Digitales Representación de la información Sistemas de Numeración Cambios de Base Sistema Binario, hexadecimal

Más detalles

1º CFGS ASIR IMPLANTACIÓN DE SISTEMAS OPERATIVOS

1º CFGS ASIR IMPLANTACIÓN DE SISTEMAS OPERATIVOS 1º CFGS ASIR IMPLANTACIÓN DE SISTEMAS OPERATIVOS OBJETIVOS La formación del módulo contribuye a alcanzar los objetivos generales de este ciclo formativo que se relacionan a continuación: a. Analizar la

Más detalles

Introducción a las FPGA

Introducción a las FPGA Introducción a las FPGA Introducción a la Microfabricación y las FPGA Instituto Balseiro 12 de Agosto 2013 Hoy veremos... Menú del Día Qué es una FPGA. Para qué se usan. Arquitecturas. Flujo de diseño.

Más detalles

Introducción. Conceptos y principios. Introducción. Introducción. Elementos del modelo de análisis. Elementos del modelo de diseño.

Introducción. Conceptos y principios. Introducción. Introducción. Elementos del modelo de análisis. Elementos del modelo de diseño. Definición de diseño Proceso para la definición detallada de un sistema con el fin de su realización física. Ingeniería del Software 1 Ingeniería del Software 2 Modelo de diseño vs. Paradigma de IS 3 actividades

Más detalles

EL PROCESO DE DISEÑO DEL SOFTWARE

EL PROCESO DE DISEÑO DEL SOFTWARE UNIDAD VI EL PROCESO DE DISEÑO DEL SOFWARE Contenido: 6.1 El diseño en la Ingeniería de Software 6.2 El proceso de Diseño 6.3 Fundamentos de Diseño 6.4 Diseño de Datos 6.5 Diseño Arquitectónico 6.6 Diseño

Más detalles

Examen final de CL 11 de Enero de 2012 Fecha de publicación de notas: 19-1-2012 Fecha de revisión: 20-1-2012

Examen final de CL 11 de Enero de 2012 Fecha de publicación de notas: 19-1-2012 Fecha de revisión: 20-1-2012 Examen final de CL 11 de Enero de 2012 Fecha de publicación de notas: 19-1-2012 Fecha de revisión: 20-1-2012 Sin apuntes. Tiemp: 3h. Nombre y Apellidos: Problema de analisis léxico, sintáctico e intérpretes

Más detalles

Verificación de sistemas

Verificación de sistemas PRESENTACIÓN Verificación de sistemas HW-SW Pedro Martín Sánchez Departamento de Electrónica. Universidad de Alcalá 1 ÍNDICE Introducción Tipos de verificación Cosimulación Verificación formal Pedro Martín

Más detalles

Características de Samba

Características de Samba INTRODUCCION El documento describe el proyecto; Sistema de Archivo de Red, que consiste en la implementación de un servidor de archivo que tenga soporte para archivos compartidos utilizando los protocolos

Más detalles

Compiladores y Lenguajes de Programación. Maria de Guadalupe Cota Ortiz

Compiladores y Lenguajes de Programación. Maria de Guadalupe Cota Ortiz Compiladores y Lenguajes de Programación Maria de Guadalupe Cota Ortiz Organizaciones que rigen las normas para estandarización de Lenguajes de Programación IEEE (Instituto de Ingenieros Eléctricos y Electrónicos)

Más detalles

Organización del Computador 1. Máquina de von Neumann Jerarquía de Niveles

Organización del Computador 1. Máquina de von Neumann Jerarquía de Niveles Organización del Computador 1 Máquina de von Neumann Jerarquía de Niveles Inicios de la computación Turing y Church sientan las bases teóricas de la computación Máquina de Turing Máquina teórica compuesta

Más detalles

1. Instala servicios de configuración dinámica, describiendo sus características y aplicaciones.

1. Instala servicios de configuración dinámica, describiendo sus características y aplicaciones. Módulo Profesional: Servicios en Red. Código: 0227. Resultados de aprendizaje y criterios de evaluación. 1. Instala servicios de configuración dinámica, describiendo sus características y aplicaciones.

Más detalles

1. Vectores 1.1. Definición de un vector en R2, R3 (Interpretación geométrica), y su generalización en Rn.

1. Vectores 1.1. Definición de un vector en R2, R3 (Interpretación geométrica), y su generalización en Rn. 1. VECTORES INDICE 1.1. Definición de un vector en R 2, R 3 (Interpretación geométrica), y su generalización en R n...2 1.2. Operaciones con vectores y sus propiedades...6 1.3. Producto escalar y vectorial

Más detalles

Proyecto de Diseño 2

Proyecto de Diseño 2 Altera University Program 1 Proyecto de Diseño 2 Números y Visualizadores El objetivo de esta práctica es diseñar circuitos combinacionales que realicen conversiones numéricas de binario a decimal y adición

Más detalles

3.1 Introducción a VHDL

3.1 Introducción a VHDL Capítulo 3 Implementación en VHDL A continuación se va a explicar brevemente el funcionamiento de VHDL y las componentes de programación para poder entender mejor el programa. Una vez explicado esto, se

Más detalles

SUPLEMENTO EUROPASS AL TÍTULO

SUPLEMENTO EUROPASS AL TÍTULO SUPLEMENTO EUROPASS AL TÍTULO DENOMINACIÓN DEL TÍTULO Técnico Superior en Desarrollo de Aplicaciones Web --------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------

Más detalles

Sistemas Digitales. Ejercicios Tema 5. El Procesador de Propósito General

Sistemas Digitales. Ejercicios Tema 5. El Procesador de Propósito General Sistemas Digitales Ejercicios Tema 5. El Procesador de Propósito General Ejercicio 1.- Con el fin de incrementar el rendimiento de la UPG proporcionada en el ANEXO, queremos ampliar/mejorar sus componentes.

Más detalles

Compuertas Lógicas. Sergio Stive Solano Sabié. Agosto de 2012 MATEMÁTICA. Sergio Solano. Compuertas lógicas NAND, NOR, XOR y XNOR

Compuertas Lógicas. Sergio Stive Solano Sabié. Agosto de 2012 MATEMÁTICA. Sergio Solano. Compuertas lógicas NAND, NOR, XOR y XNOR XOR y Lógicas Sergio Stive Solano Agosto de 2012 XOR y Lógicas Sergio Stive Solano Agosto de 2012 XOR y XOR y Con las puertas básicas podemos implementar cualquier función booleana. Sin embargo existen

Más detalles

SILABO I. DATOS GENERALES

SILABO I. DATOS GENERALES SILABO I. DATOS GENERALES 1. Nombre de la Asignatura : Automatización Industrial 2. Carácter : Obligatorio 3. Carrera Profesional : Ingeniería Mecánica Eléctrica 4. Código : IM0801 5. Semestre Académico

Más detalles

Álgebra Relacional. Unidad 5

Álgebra Relacional. Unidad 5 Álgebra Relacional Unidad 5 Definición Álgebra es un sistema matemático que está formado por: Operandos. Valores o variables con los cuáles se pueden construir nuevos valores o variables Operadores. Símbolos

Más detalles

Técnicas de Programación Hardware: CAD para FPGAs y CPLDs

Técnicas de Programación Hardware: CAD para FPGAs y CPLDs Técnicas de Programación Hardware: CAD para FPGAs y CPLDs Clase 4: FPGAs Por: Nelson Acosta & Daniel Simonelli UNICEN - Tandil - 1999 1 Implementación de Sistemas Procesador convencional. Economico, conjunto

Más detalles

En el presente capítulo se describe la programación del instrumento virtual y cómo

En el presente capítulo se describe la programación del instrumento virtual y cómo Capítulo 6. Instrumentación virtual En el presente capítulo se describe la programación del instrumento virtual y cómo éste controla el circuito de captura de señales, la llamada telefónica y escribe los

Más detalles

Ingeniería del Software. Diseño. Diseño en el PUD. Diseño de software. Patrones arquitectónicos. Diseño Orientado a Objetos en UML

Ingeniería del Software. Diseño. Diseño en el PUD. Diseño de software. Patrones arquitectónicos. Diseño Orientado a Objetos en UML Diseño Diseño en el PUD Diseño de software Patrones arquitectónicos Diseño Orientado a Objetos en UML 1 Iteración en PUD Planificación de la Iteración Captura de requisitos: Modelo de casos de uso, Modelo

Más detalles

VHDL. Carlos Andrés Luna Vázquez. Lección 5. Sentencias concurrentes

VHDL. Carlos Andrés Luna Vázquez. Lección 5. Sentencias concurrentes VHDL Carlos Andrés Luna Vázquez Lección 5 Sentencias concurrentes Índice Introducción Niveles de abstracción del modelado con HDL Estilos descriptivos del modelado con HDL Ventajas y limitaciones de los

Más detalles

TECNÓLOGO EN DESARROLLO DE SOFTWARE

TECNÓLOGO EN DESARROLLO DE SOFTWARE PERFIL DE EGRESO Diseña, codifica, desarrolla e implementa software a la medida, web y móvil, de manera segura bajo los estándares internacionales; Diseña, gestiona, administra y da mantenimiento a infraestructuras

Más detalles

Circuitos Electrónicos Digitales. Tema III. Circuitos Combinacionales

Circuitos Electrónicos Digitales. Tema III. Circuitos Combinacionales Circuitos Electrónicos Digitales Tema III Circuitos Combinacionales Universidad de Sevilla Índice 1. Análisis de circuitos combinacionales 2. Diseño de circuitos combinacionales Análisis de Circuitos Combinacionales

Más detalles

DESARROLLO DE UN COPROCESADOR EN PUNTO FLOTANTE PARA LA RESOLUCIÓN DE LA ECUACIÓN DE POISSON 1D EN ESTRUCTURAS SOI. Ingeniería Electrónica

DESARROLLO DE UN COPROCESADOR EN PUNTO FLOTANTE PARA LA RESOLUCIÓN DE LA ECUACIÓN DE POISSON 1D EN ESTRUCTURAS SOI. Ingeniería Electrónica DESARROLLO DE UN COPROCESADOR EN PUNTO FLOTANTE PARA LA RESOLUCIÓN DE LA ECUACIÓN DE POISSON 1D EN ESTRUCTURAS SOI Ingeniería Electrónica Francisco Pasadas Cantos Granada 01 Directores: Antonio García

Más detalles

Tema 7: Esquema del Funcionamiento de una Computadora. Escuela Politécnica Superior Ingeniería Informática Universidad Autónoma de Madrid

Tema 7: Esquema del Funcionamiento de una Computadora. Escuela Politécnica Superior Ingeniería Informática Universidad Autónoma de Madrid Tema 7: Esquema del Funcionamiento de una Computadora Ingeniería Informática Universidad Autónoma de Madrid Esquema del Funcionamiento de una Computadora O B J E T I V O S Adquirir los conceptos básicos

Más detalles

Centro de Investigación y Desarrollo en Ingeniería en Sistemas de Información (CIDISI)

Centro de Investigación y Desarrollo en Ingeniería en Sistemas de Información (CIDISI) Centro de Investigación y Desarrollo en Ingeniería en Sistemas de Información (CIDISI) OFERTAS TECNOLÓGICAS 1) GESTIÓN ORGANIZACIONAL Y LOGÍSTICA INTEGRADA: TÉCNICAS Y SISTEMAS DE INFORMACIÓN 2) GESTIÓN

Más detalles

FPGA: Herramientas de diseño

FPGA: Herramientas de diseño FPGA: Herramientas de diseño Proceso diseño Design and implement a simple unit permitting to speed up encryption with RC5-similar cipher with fixed key set on 8031 microcontroller. Unlike in the experiment

Más detalles

BOLETÍN OFICIAL DEL ESTADO

BOLETÍN OFICIAL DEL ESTADO Núm. 300 Miércoles 14 de diciembre de 2011 Sec. I. Pág. 135721 No debe interpretarse que los diversos espacios formativos identificados deban diferenciarse necesariamente mediante cerramientos. Las instalaciones

Más detalles

INTRODUCCIÓN AL SOFTWARE ISE (Integrated Software Environment) DE XILINX

INTRODUCCIÓN AL SOFTWARE ISE (Integrated Software Environment) DE XILINX INTRODUCCIÓN AL SOFTWARE ISE (Integrated Software Environment) DE XILINX Índice GUÍA DE INICIO AL SOFTWARE ISE DE XILINX... 1 1. Introducción... 1 2. Inicio del software ISE (Integrated Software Environment)

Más detalles

Tipos Abstractos de Datos

Tipos Abstractos de Datos Objetivos Repasar los conceptos de abstracción de datos y (TAD) Diferenciar adecuadamente los conceptos de especificación e implementación de TAD Presentar la especificación algebraica como método formal

Más detalles

Clase 20: Arquitectura Von Neuman

Clase 20: Arquitectura Von Neuman http://computacion.cs.cinvestav.mx/~efranco @efranco_escom efranco.docencia@gmail.com Estructuras de datos (Prof. Edgardo A. Franco) 1 Contenido Arquitectura de una computadora Elementos básicos de una

Más detalles

TELECOMUNICACIONES Y REDES

TELECOMUNICACIONES Y REDES TELECOMUNICACIONES Y REDES Redes Computacionales I Prof. Cristian Ahumada V. Unidad X: Planificación y Cableado de una Red Contenido 1. Introducción. 2. LAN: Realización de la conexión física 3. Interconexiones

Más detalles

1. Instala sistemas operativos en red describiendo sus características e interpretando la documentación técnica.

1. Instala sistemas operativos en red describiendo sus características e interpretando la documentación técnica. Módulo Profesional: Sistemas operativos en red. Código: 0224. Resultados de aprendizaje y criterios de evaluación. 1. Instala sistemas operativos en red describiendo sus características e interpretando

Más detalles

NETWORK TIME PROTOCOL

NETWORK TIME PROTOCOL Sistemas Operativos II NETWORK TIME PROTOCOL Jean Carlo Rivera 02 35364 Fernando Huerta 03 36054 PUNTOS A TRATAR Motivación Definición Objetivos a Conseguir Diseño del protocolo NTP modelo Algoritmo de

Más detalles

CENTRO DE ENSEÑANZA SAN ROQUE, S.L. www.centrosanroque.com Teléfono.- 94 461 4773 FAX.- 94 461 4773 E-MAIL.- centro@centrosanroque.

CENTRO DE ENSEÑANZA SAN ROQUE, S.L. www.centrosanroque.com Teléfono.- 94 461 4773 FAX.- 94 461 4773 E-MAIL.- centro@centrosanroque. CENTRO DE ENSEÑANZA SAN ROQUE, S.L. www.centrosanroque.com Teléfono.- 94 461 4773 FAX.- 94 461 4773 E-MAIL.- centro@centrosanroque.com ÍNDICE INSTALADOR DE EQUIPOS Y SISTEMAS DE COMUNICACIÓN. 150 HORAS...

Más detalles

Grado en Ingeniería en Organización Industrial

Grado en Ingeniería en Organización Industrial Grado en Ingeniería en Organización Industrial 1. Competencias básicas del MEC: Competencias a adquirir por el estudiante: MEC1 Competencias básicas del MEC Que los estudiantes hayan demostrado poseer

Más detalles

ANÁLISIS SEMÁNTICO. Especificación formal: Semántica Operacional, semántica denotacional, semántica Axiomática, Gramáticas con Atributos.

ANÁLISIS SEMÁNTICO. Especificación formal: Semántica Operacional, semántica denotacional, semántica Axiomática, Gramáticas con Atributos. ANÁLISIS SEMÁNTICO El análisis semántico dota de un significado coherente a lo que hemos hecho en el análisis sintáctico. El chequeo semántico se encarga de que los tipos que intervienen en las expresiones

Más detalles

PRÁCTICA: LENGUAJE VHDL

PRÁCTICA: LENGUAJE VHDL PRÁCTICA: LENGUAJE Introducción Los lenguajes permiten manejar mejor grandes tamaños Los lenguajes son más flexibles que las tablas Los lenguajes son légibles por las máquinas más fácilmente que los gráficos

Más detalles

Matemáticas Básicas para Computación. Sesión 7: Compuertas Lógicas

Matemáticas Básicas para Computación. Sesión 7: Compuertas Lógicas Matemáticas Básicas para Computación Sesión 7: Compuertas Lógicas Contextualización En esta sesión lograremos identificar y comprobar el funcionamiento de las compuertas lógicas básicas, además podremos

Más detalles

Técnicas de prueba 1. FUNDAMENTOS DE LA PRUEBA DEL SOFTWARE

Técnicas de prueba 1. FUNDAMENTOS DE LA PRUEBA DEL SOFTWARE Técnicas de prueba El desarrollo de Sistemas de software implica la realización de una serie de actividades predispuestas a incorporar errores (en la etapa de definición de requerimientos, de diseño, de

Más detalles

Anexo 3 MÓDULO DE FORMACIÓN EN CENTROS DE TRABAJO PROGRAMA FORMATIVO. Centro de trabajo: Tutor del centro de trabajo:

Anexo 3 MÓDULO DE FORMACIÓN EN CENTROS DE TRABAJO PROGRAMA FORMATIVO. Centro de trabajo: Tutor del centro de trabajo: Hoja Nº: 1 1. Identifica la estructura y organización de la empresa, relacionándola con la producción y comercialización de los productos que obtiene. 2. Aplica hábitos éticos y laborales en el desarrollo

Más detalles

[RECOMENDACIONES SOBRE LOS CONTENIDOS DE LAS COMPETENCIAS DE AUTOMÁTICA EN LOS GRADOS DE INGENIERÍA INDUSTRIAL]

[RECOMENDACIONES SOBRE LOS CONTENIDOS DE LAS COMPETENCIAS DE AUTOMÁTICA EN LOS GRADOS DE INGENIERÍA INDUSTRIAL] 2012 CEA ISA [RECOMENDACIONES SOBRE LOS CONTENIDOS DE LAS COMPETENCIAS DE AUTOMÁTICA EN LOS GRADOS DE INGENIERÍA INDUSTRIAL] En este documento se incluyen una serie de recomendaciones básicas para impartir

Más detalles

1. Representación de la información en los sistemas digitales

1. Representación de la información en los sistemas digitales Oliverio J. SantanaJaria Sistemas Digitales Ingeniería Técnica en Informática de Sistemas Curso 2005 2006 1. Representación de la información en los sistemas digitales Durante Hoy Los digital tipo muchos

Más detalles

Define las propiedades del medio físico de transición. Un ejemplo es: CABLES, CONECTORES Y VOLTAJES.

Define las propiedades del medio físico de transición. Un ejemplo es: CABLES, CONECTORES Y VOLTAJES. MODELO DE INTERCONEXION DE OSI. También conocido como el modelo de 7 capas. Define los métodos y protocolos necesarios para conectar una computadora a cualquier parte de la red. Para facilitar el envío

Más detalles

FAMILIA PROFESIONAL: Informática y Comunicación CICLO SUPERIOR DESARROLLO DE APLICACIONES WEB DAW 350 HORAS

FAMILIA PROFESIONAL: Informática y Comunicación CICLO SUPERIOR DESARROLLO DE APLICACIONES WEB DAW 350 HORAS FAMILIA PROFESIONAL: Informática y Comunicación CICLO SUPERIOR DESARROLLO DE APLICACIONES WEB DAW 350 HORAS Resultados de aprendizaje y criterios de evaluación. 1. Identificar la estructura y organización

Más detalles

: CIRCUITOS DIGITALES

: CIRCUITOS DIGITALES SÍLABO I. DATOS GENERALES: 1.1. Asignatura : CIRCUITOS DIGITALES I 1.2. Carácter : Obligatorio 1.3. Carreras Profesionales : Ingeniería Electrónica y Telecomunicaciones 1.4. Código : IE0306 1.5. Semestre

Más detalles

INDICE Parte I. Conceptos 1. El estudio de los lenguajes de programación 2. Cuestiones de diseño de lenguajes

INDICE Parte I. Conceptos 1. El estudio de los lenguajes de programación 2. Cuestiones de diseño de lenguajes INDICE Parte I. Conceptos 1 1. El estudio de los lenguajes de programación 1.1. Por qué estudiar lenguajes de programación? 2 1.2. Breve historia de los lenguajes de programación 1.2.1. Desarrollo de los

Más detalles

Fundamentos de Ethernet. Ing. Camilo Zapata czapata@udea.edu.co Universidad de Antioquia

Fundamentos de Ethernet. Ing. Camilo Zapata czapata@udea.edu.co Universidad de Antioquia Fundamentos de Ethernet. Ing. Camilo Zapata czapata@udea.edu.co Universidad de Antioquia Ethernet es el protocolo del nivel de enlace de datos más utilizado en estos momentos. Se han actualizado los estandares

Más detalles

6. SISTEMAS CAD-CAM (CAM) 6.1. CONCEPTO DE CAM

6. SISTEMAS CAD-CAM (CAM) 6.1. CONCEPTO DE CAM 6.1. CONCEPTO DE CAM Las siglas CAM corresponden al acrónimo de Computer Aided Manufacturing, Fabricación asistida por ordenador. Por CAM se entiende la utilización de ordenadores para tareas técnicas

Más detalles