Sistemas Digitales Ingeniería Técnica en Informática de Sistemas Curso Componentes combinacionales básicos

Tamaño: px
Comenzar la demostración a partir de la página:

Download "Sistemas Digitales Ingeniería Técnica en Informática de Sistemas Curso 2006 2007 Componentes combinacionales básicos"

Transcripción

1 sicosoliverio J. Santana Jaria Sistemas Digitales Ingeniería Técnica en Informática de Sistemas Curso Componentes combinacionales básicos valor salida combinacionales depende única y son exclusivamente aquellos cuyo de Los los determinados valores entrada Introducción circuitos complejos componentes suelen combinacionales diseñarse reutilizando básicos Describir básicos puertas uso objetivos común lógicas más algunos como frecuentemente de básicas se este de implementan los tema componentes son: usados estos componentes combinacionales el diseño de circuitos utilizando Componentes combinacionales básicos 2 1

2 Introducción Circuitos Codificación/Decodificación Selección/Distribución Convertidores Circuitos comparadores Buses Desplazamiento/Rotación de datos Dispositivos de código Resumen y salidas de y bibliografía paridad programables triestado La Componentes combinacionales básicos 3 En en para comparar función determinar básica las la magnitudes relación un circuito entre de dos comparador ellas cantidades consiste binarias Resulta determina circuito Circuitos comparadores números forma más sencilla, un circuito comparador distinguir frecuente comparador comparados cuál si de números los ampliar para dos no sean es que, son la el funcionalidad iguales, en mayor caso o sea de noque capaz de los un de dos Componentes combinacionales básicos 4 2

3 La básico, y 1 puerta las ya entradas XOR que su puede salida son diferentes ser es utilizada 0 si las entradas como comparador son iguales Igualdad entre magnitudes Por Componentes combinacionales básicos 5 Este bits con Si ejemplo, serán mayor esquema los números necesarias cantidad para es son fácilmente comparar iguales distintos, de dos bits puertas los alguno extensible números dos bits XOR de también los binarios para bits serádistinto números lo serán de dos Igualdad entre magnitudes Componentes combinacionales básicos 6 3

4 Además Esto iguales, indiquen tres de indicar si los comparados son es cuál frecuente de los dos que números los circuitos es el comparadores mayor Desigualdad implica la existencia de entre magnitudes Indicación números salidas es son en el de iguales mayor el si el los circuito: primer segundo dos El Componentes combinacionales básicos 7 La consiste posiciones, procedimiento en buscar comenzando general una desigualdad por para el comparar bit más en cualquiera significativo dos números las Desigualdad entre magnitudes Si momento relación entre los números queda establecida en el ninguna Si se Ai= examinan desigualdad, 10 en y Bi= que todas 01 se entonces encuentre las entonces posiciones A > < Bla los primera números y no desigualdad se encuentra son iguales Componentes combinacionales básicos 8 4

5 También Cuando la es prioritaria a los conexión importante bits se resulta más la realice en salida tener significativos cascada útil este del en tener cuenta tipo comparador de tres circuitos de que conexión entradas siempre correspondiente comparadores en será cascada permitir Desigualdad entre magnitudes Un Componentes combinacionales básicos 9 Cada dos sencillos circuito números que comparador X comparen e Y puede pocos universal diseñarse bitsque conectando permita comparar módulos Diseño o de un circuito comparador La módulo necesitarásólo dos salidas: G y L comparador G Si conexión = G 10 = X 0 y L puede > Y = Y0 estos al hacerse mismo módulos L tiempo en = serie para 01 X o generar = Y < Yparalelo un circuito Componentes combinacionales básicos 10 5

6 El bits diseño puede de realizarse un circuito usando comparador el método de de números Karnaugh de dos Diseño o de un circuito comparador G = A 1 B 1 + A 1 A 0 B 0 + B 1 A 0 B 0 Dadas comparador estas ecuaciones, de números el de diseño dos bits del queda circuito L = A 1 B como 1 + A 1 A 0 B sigue: 0 + B 1 A 0 B 0 Componentes combinacionales básicos 11 Diseño o de un circuito comparador G = A 1 B 1 + A 1 A 0 B 0 + B 1 A 0 B 0 L = A 1 B 1 + A 1 A 0 B 0 + B 1 A 0 B 0 Componentes combinacionales básicos 12 6

7 Hay Una un bits comparación es prioritaria módulo de que implementación ambos tener de respecto de números dos en los cuenta bits bits a para los más serie que demás comparar significativos el de resultado un bits comparador cada de pareja siempre la usaría de Diseño o un circuito El Esto del señal Componentes combinacionales básicos 13 que parejas problema circuito primero puede por y asísucesivamente todos resolverse global se de comparan los la conexión depende módulos parejas, una de en la conexión serie propagación luego es que parejas paralela retardo de de la en la Diseño o de un circuito comparador Componentes combinacionales básicos 14 7

8 Introducción Circuitos Codificación/Decodificación Selección/Distribución Convertidores Circuitos comparadores Buses Desplazamiento/Rotación de datos Dispositivos de código Resumen y salidas de y bibliografía paridad programables triestado La Componentes combinacionales básicos 15 Un presencia denominada función básica código, una determinada en un sus decodificador entradas combinación es detectar bits, la En salida, Circuitos decodificadores nentradas decodificador indicarála presencia un código posibles sus forma entradas ya combinaciones sea y 2nsalidas más alto por general, o medio bajo para de un valores indicar decodificador un determinado cada las una entradas tendrá de nivel las de Componentes combinacionales básicos 16 8

9 Por Se aparece circuito básico alto puede ejemplo, a la por la salida utilizar decodificación, combinación medio supongamos si todas una puerta un las 1001 nivel ya que entradas AND que queremos alto las sólo como en están entradas genera la salida elemento indicar a nivel de un un nivel cuándo alto Activación n a nivel alto A B Los X = ABCD C D Componentes Cuando también o no circuitos su funcionamiento una entrada decodificadores suelen E incorporar para habilitar combinacionales básicos 17 Cuando activo independientemente las la entrada habilitación de un decodificador Entrada correspondiente a nivel alto estéa 0, todas las salidas serán 0 entradas entradas la será1 entrada y a todas la de combinación de habilitación las la combinación demás salidas estéa de valores 1, serán valores la de salida 0las Componentes combinacionales básicos 18 9

10 Un como decodificador se muestra a de continuación 1 entrada tendrá2 salidas, tal y Decodificadores activos a nivel alto C 0 = E A 0 C 1 = E A 0 El obtener diseño un anterior decodificador puede expandirse de 2 entradas fácilmente y 4 salidas para Componentes combinacionales básicos 19 Decodificadores activos a nivel alto C 0 = E A 1 A 0 C 1 = E A 1 A 0 C 2 = E A 1 A 0 C 3 = E A 1 A 0 Componentes combinacionales básicos 20 10

11 También El mayores, partir donde diseño de los los resulta por incluirávarios de bloques un ejemplo nivel posible anteriores habilitan con construir niveles 3 entradas o de no decodificadores, a y los 8 salidas, del siguiente a Decodificadores activos nivel alto Supongamos Se aparece circuito Componentes combinacionales básicos 21 básico bajo puede a la por la salida utilizar decodificación, combinación medio ahora si una todas que puerta un las queremos 1001 nivel ya entradas NAND bajo las sólo indicar están entradas como genera la salida a cuándo elemento nivel de un un nivel alto Activación n a nivel bajo A B X = ABCD C D Componentes combinacionales básicos 22 11

12 Por con decodificar ejemplo, 4 líneas todas de un entrada decodificador las posibles necesitará16 combinaciones activo salidas a nivel para bajo de entrada poder Decodificadores activos a Otro Este tiene código Componentes combinacionales básicos 23 correspondientes que ignorar decodificador ejemplo 4 líneas BCD el entrada las a decodificador sólo los posibles dígitos necesita que se combinaciones decimales, corresponden 10 BCD líneas a decimal, ya que de salida, con entrada hay que un Decodificadores activos a nivel bajo Componentes combinacionales básicos 24 12

13 Otra entrada, de salida aplicación correspondientes que controlen usar un a decodificador display un código 7 BCD, segmentos con 4 y líneas 7 líneas de Decodificadores activos a nivel bajo Cada Componentes combinacionales básicos 25 Por que funciones salida de un se corresponde con esta término producto o con un término suma, por lo podemos podemos usar decodificadores para implementar expresión lógicas Generación n funciones lógicasl productos ejemplo, tabla de obtener como sumas verdad, dada o como suma una A B C F 0) ) ) ) ) ) ) ) F(A,B,C) = (1,3,5,7) F(A,B,C) = (0,2,4,6) Componentes combinacionales básicos 26 13

14 Para necesario puerta productos generar ORconectar una función decodificador las salidas expresada correspondientes activo como a nivel suma alto a de una será Generación n funciones lógicasl F(A,B,C) = (1,3,5,7) Para necesario puerta productos generar NAND conectar una función decodificador las salidas expresada correspondientes activo como a nivel suma bajo a de una será Componentes combinacionales básicos 27 Generación n funciones lógicasl F(A,B,C) = (1,3,5,7) Componentes combinacionales básicos 28 14

15 Para sumas necesario puerta generar NOR con conectar un una decodificador función las salidas expresada activo correspondientes a como nivel producto alto será a una de Generación n funciones lógicasl Para sumas necesario F(A,B,C) = (0,2,4,6) puerta generar AND con conectar un una decodificador función las salidas expresada activo correspondientes a como nivel producto bajo a será una de Componentes combinacionales básicos 29 Generación n funciones lógicasl F(A,B,C) = (0,2,4,6) Componentes combinacionales básicos 30 15

16 Un Cuando contraria circuito a un codificador circuito decodificador realiza, en esencia, la función En circuito correspondiente nsalidas se activa una de sus líneas entrada, Circuitos codificadores una su de forma las codificador y posibles 2nentradas más a general, la combinaciones generaráuna entrada que se decodificador corresponderán salida salida codificada tendrá a cada Un Componentes Por combinación estáa combinacionales básicos 31 codificador codificador activo a nivel alto generaráuna a de salida si la entrada correspondiente líneas nivel alto se Codificadores activos a nivel alto los ejemplo, un decimal y para BCD 4 corresponden dígitos líneas codificarlas 8421 entrada, decimales, tendrá10 salida con que Componentes combinacionales básicos 32 16

17 Cada de los una bits de del las código líneas BCD de salida 8421se corresponde a uno Codificadores activos a nivel alto A0 = A1 = A2 = A3 = Un Por entrada Componentes combinacionales básicos 33 8 octales, líneas decir, codificador ejemplo, correspondiente y de generaráuna 3 entrada, líneas también codificador de correspondientes salida combinación puede estéa para de nivel ser octal codificarlos activo de bajo a binario salida a dígitos nivel en cuando tendrá binario bajo, la Codificadores activos a nivel bajo Componentes combinacionales básicos 34 17

18 Si codificador, se activa más el resultado de una línea de salida de entrada no seráel en un esperado circuito Un Para salida evitar esto necesario dar un orden de prioridad a Codificadores con prioridad activarási las entradas entradas, codificador adicional, activadas alguna de con manera denominada sólo prioridad las se que entradas tenga siempre CUALQUIERA, también en estáactivada cuenta que puede haya una tener varias de que ellas una se Un entradas a continuación codificador tendrá1 con línea prioridad de salida, activo tal y a como nivel alto se muestra con 2 Componentes combinacionales básicos 35 Codificadores con prioridad A 0 = D 1 Cualquiera = D 0 +D 1 Componentes combinacionales básicos 36 18

19 El obtener diseño un anterior decodificador puede expandirse de 2 entradas fácilmente y 4 salidas para Codificadores con prioridad A 0 = D 1 D 2 +D 3 Introducción A 1 = D 2 +D 3 Cualquiera = D 0 +D 1 +D 2 +D Circuitos Codificación/Decodificación Selección/Distribución 3 Componentes combinacionales básicos 37 Convertidores Circuitos comparadores Buses Desplazamiento/Rotación de datos Dispositivos de código Resumen y salidas de y bibliografía paridad programables triestado Componentes combinacionales básicos 38 19

20 Un Su dirigir líneas multiplexor la información entrada o hacia selector digital una es única un procedente circuito línea de que de salida varias permite Un Circuitos multiplexores o selectores línea principal objetivo es permitir que información permiten procedente un destino multiplexor salida, decidir común de varias además posee quéentrada a través fuentes 2nlíneas de nentradas de pueda se una de conecta línea entrada transmitirse de compartida a selección la y una salida a única que Este multiplexor de selección diagrama con que muestra 2 permite entradas el elegir diseño de datos entre de un y ellas 1 circuito entrada Componentes combinacionales básicos 39 Diseño o de circuitos multiplexores Y = S D 0 +S D 1 Componentes combinacionales básicos 40 20

21 Este con entradas 4 otro entradas de diagrama selección de datos, muestra para por elegir lo diseño que entre serán de ellas un necesarias multiplexor 2 Diseño o de circuitos multiplexores Y=S 0 S 1 D 0 + S 0 S 1 D 1 + S 0 S 1 D 2 + S 0 S 1 D 3 Un puede cada Componentes combinacionales básicos 41 parejas primer multiplexor dos construirse anteriores entradas, nivel con de y usando el multiplexores asísucesivamente 8 siguiente entradas varios nivel de multiplexores permitiráelegir datos elegiráentre y 3 de selección menores las entre Diseño o de circuitos Componentes combinacionales básicos 42 21

22 Esta Un implementarse para pequeños, y la multiplexor puerta técnica controlar pues, OR sólo las de usando de en puede señales salida 8 otro entradas un usarse caso, sería decodificador selección demasiado para coste datos multiplexores del también de grande decodificador 3 entradas puede Diseño o de circuitos Una funciones aplicación lógicas de los multiplexores es la generación de Componentes combinacionales básicos 43 Dada El variables número de de la entradas función de selección datos equivale equivale número al número de de Generación n de funciones lógicasl El correspondientes salida resto posibles una 1 se de tabla combinaciones fijan las entradas de a a 1verdad, las líneas de de entrada las datos de entradas la se tabla fijan del con a 0multiplexor valor de Componentes combinacionales básicos 44 22

23 Dada utilizando una función multiplexor de 3 variables, con 3 entradas podemos de representarla selección Generación n funciones lógicasl F(A,B,C) = (1,2,4,5) F(A,B,C) = (0,3,6,7) A B C 0) ) ) ) ) ) ) ) F MUX Una usando función multiplexor mapa de 3 de variables Karnaugh con sólo también como 2 entradas se ayuda puede realizar selección, Componentes combinacionales básicos 45 Generación n de funciones lógicasl F(A,B,C) = (1,2,4,5) F(A,B,C) = (0,3,6,7) AB C D0 D1 D D2 MUX Componentes combinacionales básicos 46 23

24 También con dejando un multiplexor en podemos las columnas realizar de 3 entradas del una mapa función de una selección, única de 4 variables siempre Generación n de funciones lógicasl (1,2,4,5,9,10,11) (0,3,6,7,8,12,13,14,15) F(A,B,C,D) = F(A,B,C,D) = ABC D D0 D1 MUX D D D D D D4 Un Los para a partir multiplexores implementar de circuitos un o codificadores selectores circuito codificador también simples pueden con prioridad usarse Componentes combinacionales básicos 47 Las tendrávarios formados más las codificador niveles diseñado así Diseño o de circuitos codificadores de propagarán sencillos por que codificadores obtenga los líneas salidas codificadores el de código CUALQUIERA hasta entrada que agrupan de se salida se Componentes combinacionales básicos 48 24

25 La circuitos significativos mismo salida nivel multiplexores CUALQUIERA se de utilizarácomo cada parte que de generen del los entrada código codificadores los de bits selección menos de un para Diseño o de circuitos Componentes combinacionales básicos 49 Su Un multiplexor, una demultiplexor línea es entrada decir, realiza distribuir entre la función varias los datos líneas contraria provenientes salida a la de un Un procedente compartida Circuitos demultiplexores o distribuidores 2nlíneas principal objetivo es permitir que información que demultiplexor permiten una pueda salida, decidir fuente posee transmitirse además quésalida común una de única que nentradas a se varios llega conecta línea destinos por a entrada una selección la entrada línea y Componentes combinacionales básicos 50 25

26 Este demultiplexor selección diagrama que con muestra permiten 4 salidas el diseño elegir de datos entre de un y ellas 2 circuito entradas Diseño o circuitos demultiplexores S0 S D0 D1 D2 D3 E X E X X X X X X X X E X X X E La realizarse una Las implementación de un demultiplexor puede Componentes combinacionales básicos 51 serán entradas seráusada usando un circuito decodificador con de Diseño entradas usadas como de datos o circuitos demultiplexores Cuando datos salidas de de selección correspondiente de entrada estarán estéa como estaráa habilitación 0, 1, a al entrada 0todas la código 1salida las DEMUX Componentes combinacionales básicos 52 26

27 Introducción Circuitos Codificación/Decodificación Selección/Distribución Convertidores Circuitos comparadores Buses Desplazamiento/Rotación de datos Dispositivos de código Resumen y salidas de y bibliografía paridad programables triestado A Componentes combinacionales básicos 53 En cantidad en lo otro largo usando de de métodos los circuitos años distintos se digitales ha ido para creando convertir una gran un código A cualesquiera simplificándolas Convertidores de códigoc de general, es posible convertir entre dos códigos utilizando modo código de Gray circuitos ejemplo planteando a con binario sencillos nos los centraremos las métodos o de funciones con binario puertas habituales en a apropiadas código la XOR conversión Gray y Componentes combinacionales básicos 54 27

28 El Su ponderado puede código tener Gray y cualquier no es aritmético un código número que no También es varía código bits característica más importante código c Gray porque primera un es hasta hay y bit es continuo, la continuidad desde un última la código siguiente una es palabra decir, palabra cíclico entre sólo la del El De Los Componentes combinacionales básicos 55 A adyacente bit más significativo siempre es el mismo conversión continuación izquierda acarreos de y deben a un bits derecha se ejemplo para muestra descartarse obtener se debe el su circuito el funcionamiento sumar siguiente necesario cada bit par en para Gray la Conversión n binario Gray Componentes combinacionales básicos 56 28

29 El De A calcula anterior bit más significativo siempre el mismo conversión continuación izquierda sumando del y código a un derecha, se ejemplo el muestra binario correspondiente cada de el y su descartando bit circuito funcionamiento del código bit necesario Gray los binario con acarreos para el se bit la Conversión n Gray binario Introducción Circuitos Codificación/Decodificación Selección/Distribución Componentes combinacionales básicos 57 Convertidores Circuitos comparadores Buses Desplazamiento/Rotación de datos Dispositivos de código Resumen y salidas de y bibliografía paridad programables triestado Componentes combinacionales básicos 58 29

30 Estos Durante parte debido ruidos de eléctricos al un la mal transferencia circuito funcionamiento a otra de pueden datos un digitales producirse componente desde errores una o a Debido indeseados codificada: Errores de transmisión existen errores se manifiestan mediante cambios como objetivo técnicas, a la en un posibilidad los 0 detectarlos puede como bits que convertirse y conforman bits que corregirlos de aparezcan paridad, en un la información 1 errores, que o viceversa tienen El Componentes Las binario dependiendo objetivo reglas es que combinacionales básicas de del añadir la sistema cantidad un bit de paridad a un número básicos en las que total se de basan unos los sea circuitos par o impar, 59 Circuitos paridad Por generadores y comprobadores de paridad es sencilla: binario Si unos de tanto, se unos siempre descartan basta siempre para con determinar los 0 sumar acarreos, 1todos la la paridad suma los bits de un de del número un número impar de Componentes combinacionales básicos 60 30

31 La generalizar Cuando estaráa suma de nivel utilizando número dos alto bajo bits, de descartando unos una en puerta las entradas XOR el acarreo, sea impar, se la puede salida la salida Circuitos de paridad Esta El formar al Componentes combinacionales básicos 61 que símbolo circuito indica estructura circuitos paridad tendrádos lógico de más mostrado puertas par grandes, salidas y otra XOR en que complementarias, como este puede indica diagrama el extenderse correspondiente paridad una impar para Circuitos de paridad Componentes combinacionales básicos 62 31

32 El En generador bloque anterior de paridad puede para utilizarse un número como de hasta un circuito 9 bits En salida caso de paridad par, el bit de paridad se toma de la la Impar, ya queesta salida es Generador de paridad de bits en las y 1 hay un número salida caso impar de Par, paridad de de bits ya bits queesta en impar, en las las entradas el salida entradas bit de es paridad 0 y 1 cuando se hay toma hay un de un El Componentes circuito bits, bloque con comprobador 8 anterior bits datos también de y paridad 1 puede bit para utilizarse paridad código como de un 9 combinacionales básicos 63 En deberáser salida entrada el número unos en la entrada Comprobador debe par, por lo que la salida Pardebe ser 1 y la producido caso ser Impar deberáser 1 un y paridad la error debe salida impar, ser Par 0, por o el debe se número lo habráproducido que ser 0, la o salida unos se habrá Impar en un la error Componentes combinacionales básicos 64 32

33 Introducción Circuitos Codificación/Decodificación Selección/Distribución Convertidores Circuitos comparadores Buses Desplazamiento/Rotación de datos Dispositivos de código Resumen y salidas de y bibliografía paridad programables triestado Es Componentes combinacionales básicos 65 Un de número entradas, difícil de fabricar conexiones ya que multiplexores eso en implicaría un único con centralizar lugar un gran número un gran Para fabricar, denominado Buses proporcionar bus es un elemento de transmisión de datos, fácil de estado implementar que alta adaptador permite tres impedancia posibles un bus solucionar triestado, se valores: utiliza este cuya un problema componente 0, salida un 1 puede o un Componentes combinacionales básicos 66 33

34 Un adaptador triestado tiene una línea de datos (D), una En línea de salida (Y) y una de habilitación (E) considerarse Siempre igual la la alta práctica, entrada al impedancia contenido que como de la el entrada habilitación estado de una la de entrada desconexión habilitación estáa alta impedancia 0, la estéa eléctrica salida 1, seráun (Z) la del salida puede estado bus será Adaptadores triestado El Cada bus funcionamiento equivalente al de de los adaptadores multiplexor triestado de un Componentes combinacionales básicos 67 Las de que cada conecta bus contiene un conjunto adaptadores adaptadores se utiliza uno triestado, de ellos de para forma Adaptadores triestado manera datos fuente al bus de datos que se en un entradas pueda momento que sólo estar deben dado habilitación una conectada establecerse fuente de al bus los de Componentes combinacionales básicos 68 34

35 Por Utilizando los mismos principios se pueden construir Estas buses fuentes que tengan más fuentes de datos pueden ejemplo, un bus con cuatro decodificador, selección necesitarádos para elegir entradas la Implementación n de buses encargado líneas entradas que conecta de selección al bus adaptadores conectarse habilitación triestado manipular que a seráel un de las los Introducción Circuitos Codificación/Decodificación Selección/Distribución Componentes combinacionales básicos 69 Convertidores Circuitos comparadores Buses Desplazamiento/Rotación de datos Dispositivos de código Resumen y salidas de y bibliografía paridad programables triestado Componentes combinacionales básicos 70 35

36 Como Una un a la número derecha operación binario de desplazamiento mposiciones consiste bit a la en izquierda desplazar o Una mbits desplazamiento, Desplazamiento y rotación los resultado de un desplazamiento, se mueven fuera nuevo que operación del por se número mueven el otro de pero extremo rotación y fuera se en introducen son ella del los no similar número se que m pierden se bits a una introducen nuevos bits, de ya de que La Componentes combinacionales básicos 71 Un en rellenar operación desplazamiento puede realizarse tanto representa modo lógico como en modo aritmético derecha Modos las posiciones lógico vacías siempre del número introduce desplazado ceros para Si rellenan desplazamiento multiplicación aritmético por una dos posición bit desplaza más o una las significativo nuevas división a la derecha izquierda posiciones debe por un dos un ser con número un si copias duplicado es en a en la del complemento izquierda es bit del a de bit signo de a signo a 2, 2, se Componentes combinacionales básicos 72 36

37 La Por rotadores necesitaría multiplexores implementación de circuitos desplazadores y se realiza utilizando multiplexores ejemplo, tres un señales circuito desplazador/rotador control para manipular universal los Circuitos desplazadores y rotadores Una operación o desplazamiento sin rotación modificar señal S0indicarási S1indicarási S2indicarási debe desplazamiento realizarse o rotación, el se a circuito operación realiza o la bien o izquierda una si la debe se operación deja desplazamiento o realizar a el derecha número una rotación Este universal o rotar diagrama una que posición puede muestra a realizar izquierda un circuito un desplazamiento o derecha desplazador/rotador lógico Componentes combinacionales básicos 73 Circuitos desplazadores y rotadores Componentes combinacionales básicos 74 37

38 El Esto desplazamiento circuito anterior o rotación solo puede una realizar única una posición operación Para posición veces, rotador significa para desplazar o rotar más una Circuitos desplazadores y rotadores cualquier evitar lo en habráque número bloques, esto ralentizaría se puede pasar que posiciones utilizar el capaz proceso número un de desplazador desplazar circuito o varias rotar o Los Dado niveles desplazadores/rotadores multiplexoresen bloques utilizan varios Componentes combinacionales básicos 75 Cada máximo tantos representación un número nbits, el desplazamiento/rotación número seráde n 1 para serán necesarios Circuitos y rotadores bits niveles de como bits tenga obtener de n, todos tantas por los multiplexores posiciones binaria que valores combinándolos de entre ncomo desplazaráo 0 el y peso n 1 todos de rotaráel podremos uno de los Componentes combinacionales básicos 76 38

39 Por para el S0 rota S1 rota S2 rota diagrama, ejemplo, números 124 necesitarátres un posición posiciones de rotador ocho bits, a la como entradas derecha el mostrado de en selección bloques en Circuitos desplazadores y rotadores Introducción Circuitos Codificación/Decodificación Selección/Distribución Componentes combinacionales básicos 77 Convertidores Circuitos comparadores Buses Desplazamiento/Rotación de datos Dispositivos de código Resumen y salidas de y bibliografía paridad programables triestado Componentes combinacionales básicos 78 39

40 Los Un Logic complejos, Device) dispositivos se reduciendo utilizan lógicos para el programables coste reemplazar y ahorrando circuitos (PLD Programmable espacio Esencialmente, y funciones Dispositivos lógicos l programables una PLD estáformado por una matriz de puertas AND con puertas red fusible de OR lógicas conductores que en una cada se específicas de puede punto estas distribuidos programar matrices de intersección en programables para filas conseguir y columnas es Una La OR eliminar Componentes combinacionales básicos 79 Para OR estáformada por una serie de puertas Una fusible matriz conectadas vez cada determinadas correspondiente se fundido, entrada programa a una un fusible una matriz variables fundiendo a puerta la variable no programable se OR puede los sólo deseada los fusibles volver quedaráintacto puntos a conectar para de salida el Matriz OR programable Componentes combinacionales básicos 80 40

41 Una La AND eliminar Para AND estáformada por una serie de puertas Una fusible matriz conectadas vez cada determinadas correspondiente se fundido, entrada programa a un una fusible una variables fundiendo a matriz puerta la variable no se programable AND puede los deseada los sólo fusibles volver puntos quedaráintacto a conectar para de salida el Matriz programable Existen Las cuatro tipos de PLD, clasificados en función de Componentes combinacionales básicos 81 Debido Programmable fijo la una organización de sus elementos internos PROM memorias programables de sólo lectura (PROM y Clasificación no de matriz como puertas suelen a la Read-Only dispositivos programable limitación usarse AND Memory) conectadas como de están OR las memorias puertas formadas como AND direccionables decodificador por fijas, un conjunto las y n los lógicos PLD Componentes combinacionales básicos 82 41

42 Las Array) por PLA Logic Este Logic) y programable causada matrices lógicas programables PAL (Programmable Array Clasificación n de los PLD tener una esquema están dos matriz por matrices formadas los OR evita fusibles fija programables los por con retardos adicionales una matriz lógica y la AND complejidad resultantes de salida programable de Las Componentes combinacionales básicos 83 Sin forman OR configuraciones matrices lógicas genéricas (GAL Generic Array Logic) se pueden matriz AND y una matriz una embargo, fija GAL tecnología reprogramar se una diferencian la más principal salida de salida avanzada una lógica de y diferencia son otra las en programables PAL vez lugar debido que fusibles a las que GAL usan se Clasificación n de los PLD Componentes combinacionales básicos 84 42

43 Las de fijas puertas PAL con una están formadas conectadas de salida por a una matriz programable de puertas OR Cada red de variable matriz AND programable estáformada por una Matrices lógicas l programables columna de conductores, cada fila a la entrada programable una punto puerta de se entrada denomina AND intersección la PAL o y a cada celda, su complemento columna entre siendo una éste conectada fila el y elemento una a una Una Por en variables Componentes combinacionales básicos 85 de una forma ejemplo, PAL PAL definido permite para suma este dos diagrama implementar de productos variables muestra de cualquier con entrada un la estructura número expresión y una de salida básica Programación n de una PAL Componentes combinacionales básicos 86 43

44 El fusibles Cuando proceso el fusible adecuados se dicha correspondiente requiere programación conexión para la conexión no obtener queda se requiere, consiste entre intacto la función una el en fusible fila fundir y deseada una los columna, abre Programación n de una PAL Las PAL reales son circuitos muy complejos, por lo que Componentes combinacionales básicos 87 los para fabricantes representarlos han adoptado una notación simplificada líneas de Símbolos simplificados Para el entradas única valor de utilizan una variable buffers como de entrada, su complemento que generan tanto mientras evitar representar línea de que cargar horizontal las para puertas los el los diseño fusibles AND con intactos se fundidos representan demasiadas no utiliza se con filas, indica una una las X, nada Componentes combinacionales básicos 88 44

45 Este de usando suma diagrama la de notación productos muestra simplificada implementada una expresión con lógica una en PAL forma Símbolos simplificados Existen combinacionales Componentes básicos 89 varios tipos de de salida circuitos Una una a realimentarse usada para Lógica que nivel suma entrada/salida alto de o productos, bajocombinacional, que puede estar que de salida salida puerta para usa permite invertir o cuando XOR su complemento de seleccionar y la a polaridad un salida una salida fusible entrada debe programable, la que usando función de se la elimina una matriz de Componentes combinacionales básicos 90 45

46 Las AND OR fija GAL reprogramable están una formadas conectada por salida una a programable una matriz matriz de puertas de puertas Cada de red una matriz AND reprogramable estáformada por una Matrices lógicas l genéricas puerta de conductores, ordenados en filas y columnas, con o a intersección su celda fila complemento AND de E2CMOS celdas y cada en lugar estáconectada columna (Electrically un Erasable a fusible una a variable CMOS) entrada de cada de entrada punto una Una Componentes combinacionales básicos 91 La su celda correspondiente celda desactivada E2CMOS no fila activada conecta y columna, la conecta fila mientras con de la forma columna que efectiva una Dependiendo lugar puede Matrices lógicas l genéricas típica principal ventaja de utilizar celdas E2CMOS en programado puede borrar fusibles durante permanecer y de reprogramar la es tecnología, que 20 años cada en eléctricamente o una estado una más de celda estas en E2CMOS celdas que se se la ha Componentes combinacionales básicos 92 46

47 Una Por en variables de una forma ejemplo, GAL GAL definido permite suma para este dos diagrama de implementar productos variables muestra de con cualquier entrada un la estructura número expresión y una de salida básica Programación n de una GAL El desactivar aplicar puerta proceso AND la combinación cada de y programación obtener celda E2CMOS la adecuada suma consiste de de productos el variables en objetivo activar a de cada o Componentes combinacionales básicos 93 Programación n de una GAL Componentes combinacionales básicos 94 47

48 Estas Las que las macroceldas GAL salidas contienen la OLMC lógica de un lógicas de proporcionan puertas las tipo salida puertas de de OR dispositivo fija salida AND y de mucha lógica las (OLMC se PAL, más introducen programable Output versátil convirtiendo flexibilidad Logic Macrocells) Programación n de una GAL Introducción Circuitos Codificación/Decodificación Selección/Distribución Componentes combinacionales básicos 95 Convertidores Circuitos comparadores Buses Desplazamiento/Rotación de datos Dispositivos de código Resumen y salidas de y bibliografía paridad programables triestado Componentes combinacionales básicos 96 48

49 La Existe previamente reutilización diseñados módulos resulta combinacionales ventajosa para la Además, implementación combinacionales en importante de circuitos digitales abstracciones un gran número se de han estos usado módulos frecuentemente Resumen circuito, circuitos los diseños haciendo digitales el conocer diseño jerárquicas y que complejos posible modular su estructura siguen de la nos los implementación usando, permite componentes y funcionamiento por realizar lo que del es Componentes combinacionales básicos 97 Principios Fundamentos de Sistemas Digitales (7ªedición) Capítulo Capítulos 6 y 7 Daniel Thomas L. Floyd Prentice Prentice D. Hall, Hall, 5de Gajski Diseño Digital Bibliografía Componentes combinacionales básicos 98 49

Fundamentos de los Computadores Grado en Ingeniería Informática

Fundamentos de los Computadores Grado en Ingeniería Informática 3.2. Componentes combinacionales básicos Fundamentos de los Computadores Grado en Ingeniería Informática Introducción Los circuitos combinacionales son aquellos cuyo valor de salida depende d única y exclusivamente

Más detalles

k k N b Sistemas Númericos Sistemas con Notación Posicional (1) Sistemas con Notación Posicional (2) Sistemas Decimal

k k N b Sistemas Númericos Sistemas con Notación Posicional (1) Sistemas con Notación Posicional (2) Sistemas Decimal Sistemas con Notación Posicional (1) Sistemas Númericos N b = a n-1 *b n-1 + a n-2 *b n-2 +... + a 0 *b 0 +a -1 *b - 1 + a -2 *b -2 +... + a -m *b -m Sistemas con Notación Posicional (2) N b : Número en

Más detalles

FUNDAMENTOS DE SISTEMAS DIGITALES. Tema 2: Lógica combinacional (I): Funciones aritmético-lógicas

FUNDAMENTOS DE SISTEMAS DIGITALES. Tema 2: Lógica combinacional (I): Funciones aritmético-lógicas FUNDAMENTOS DE SISTEMAS DIGITALES Tema 2: Lógica combinacional (I): Funciones aritmético-lógicas 1 Programa 1. Representación conjunta de números positivos y negativos. 2. Sumadores y restadores. 3. Sumadores

Más detalles

TEMA 2: Control combinacional. 1.- Introducción. Esquema:

TEMA 2: Control combinacional. 1.- Introducción. Esquema: Esquema: TEMA 2: Control combinacional TEMA 2: Control combinacional...1 1.- Introducción...1 1.1.-Diseño de circuitos combinacionales...2 2.- Circuitos combinacionales avanzados...2 2.1.- Codificadores...2

Más detalles

Tema 5. SISTEMAS COMBINACIONALES. Tema 5. Sistemas combinacionales por Angel Redondo I.E.S Isaac Peral Torrejon de Ardoz 1

Tema 5. SISTEMAS COMBINACIONALES. Tema 5. Sistemas combinacionales por Angel Redondo I.E.S Isaac Peral Torrejon de Ardoz 1 Tema 5. SISTEMAS COMBINACIONALES Tema 5. Sistemas combinacionales por Angel Redondo I.E.S Isaac Peral Torrejon de Ardoz SISTEMAS COMBINACIONALES Sistemas combinacionales. Codificadores Decodificadores

Más detalles

Tema 3: Sistemas Combinacionales

Tema 3: Sistemas Combinacionales Ejercicios T3: Sistemas Combinacionales Fundamentos de Tecnología de Computadores Tema 3: Sistemas Combinacionales 1. Analizar el siguiente circuito indicando la expresión algebraica que implementa, la

Más detalles

en coma flotante Oliverio J. Santana Jaria Sistemas Digitales Ingeniería Técnica en Informática de Sistemas Curso 2006 2006

en coma flotante Oliverio J. Santana Jaria Sistemas Digitales Ingeniería Técnica en Informática de Sistemas Curso 2006 2006 Oliverio J. Santana Jaria Sistemas Digitales Ingeniería Técnica en Informática de Sistemas Curso 2006 2006 4. Representación n de números n en coma flotante Para La números representar fraccionarios números

Más detalles

Circuitos Combinacionales. Fundamentos de Computadores Escuela Politécnica Superior. U.A.M

Circuitos Combinacionales. Fundamentos de Computadores Escuela Politécnica Superior. U.A.M Circuitos Combinacionales Fundamentos de Computadores Escuela Politécnica uperior. U..M Índice de la Unidad U. Circuitos combinacionales U.. mplementación de la lógica combinacional. Funciones lógicas.

Más detalles

Oliverio J. Santana Jaria. Sistemas Digitales Ingeniería Técnica en Informática de Sistemas Curso 2006 2007

Oliverio J. Santana Jaria. Sistemas Digitales Ingeniería Técnica en Informática de Sistemas Curso 2006 2007 Oliverio J. Santana Jaria Sistemas Digitales Ingeniería Técnica en Informática de Sistemas Curso 2006 2007 7. Álgebra de Boole Este El que éxito resulta de la diseñar tecnología y fabricar digital circuitos

Más detalles

Tema 6: Circuitos Digitales BásicosB. Escuela Politécnica Superior Ingeniería Informática Universidad Autónoma de Madrid

Tema 6: Circuitos Digitales BásicosB. Escuela Politécnica Superior Ingeniería Informática Universidad Autónoma de Madrid Tema 6: Circuitos Digitales BásicosB Ingeniería Informática Universidad utónoma de Madrid O B J E T I V O S Circuitos digitales básicosb Comprender las funciones lógicas elementales Habilidad para diseñar

Más detalles

Decodificadores/Demultiplexores. Grupo 9 Javier de Gregorio Menezo Laro de la Fuente Lastra Raúl Fernández Díaz

Decodificadores/Demultiplexores. Grupo 9 Javier de Gregorio Menezo Laro de la Fuente Lastra Raúl Fernández Díaz Decodificadores/Demultiplexores Grupo 9 Javier de Gregorio Menezo Laro de la Fuente Lastra Raúl Fernández Díaz Decodificadores Un decodificador (DEC) es un circuito combinacional que convierte un código

Más detalles

TEMA 5. SISTEMAS COMBINACIONALES MSI. INTRODUCCIÓN

TEMA 5. SISTEMAS COMBINACIONALES MSI. INTRODUCCIÓN Circuitos Combinacionales MSI 1 TEMA 5. SISTEMAS COMBINACIONALES MSI. INTRODUCCIÓN Los sistemas combinacionales son aquellos en los que las salidas dependen exclusivamente de las entradas, luego para una

Más detalles

Bloques funcionales combinacionales. Bloques para el encaminamiento y/o transferencia de datos

Bloques funcionales combinacionales. Bloques para el encaminamiento y/o transferencia de datos Bloques para el encaminamiento y/o transferencia de datos Multiplexor Demultiplexor Decodificador Codificador Bloques para el procesamiento de datos Comparador Bloques para la generación de funciones booleanas

Más detalles

DISEÑO LOGICO CON DISPOSITIVOS LOGICOS PROGRAMABLES (PLD S) ING. LUIS F. LAPHAM CARDENAS PROFESOR INVESTIGADOR DIVISION DE ELECTRONICA C.E.T.I.

DISEÑO LOGICO CON DISPOSITIVOS LOGICOS PROGRAMABLES (PLD S) ING. LUIS F. LAPHAM CARDENAS PROFESOR INVESTIGADOR DIVISION DE ELECTRONICA C.E.T.I. DISEÑO LOGICO CON DISPOSITIVOS LOGICOS PROGRAMABLES (PLD S) ING. LUIS F. LAPHAM CARDENAS PROFESOR INVESTIGADOR DIVISION DE ELECTRONICA C.E.T.I. RESUMEN En este artículo intentamos mostrar el cambio dramático

Más detalles

Decodificadores y Demultiplexores. Pedro Fernández Ignacio de la Rosa

Decodificadores y Demultiplexores. Pedro Fernández Ignacio de la Rosa Decodificadores y Demultiplexores Pedro Fernández Ignacio de la Rosa Decodificadores El trabajo de un decodificador, es recibir como entradas códigos en binario (N bits) y activar una de las M salidas,

Más detalles

LECCIÓN Nº 02 FUNCIONES DE LOGICA COMBINACIONAL (PARTE 1)

LECCIÓN Nº 02 FUNCIONES DE LOGICA COMBINACIONAL (PARTE 1) LECCIÓN Nº 02 FUNCIONES DE LOGICA COMBINACIONAL (PARTE 1) 1. CONVERSORES DE CODIGO La disponibilidad de una gran variedad de códigos para los mismos elementos discretos de información origina el uso de

Más detalles

Universidad Tecnológica Nacional Facultad Regional Tucumán Ingeniería Electrónica Asignatura: Informática I 1R2 Trabajo Práctico N 1 - Año 2015

Universidad Tecnológica Nacional Facultad Regional Tucumán Ingeniería Electrónica Asignatura: Informática I 1R2 Trabajo Práctico N 1 - Año 2015 Numeración Binaria, Hexadecimal y Octal 1.- Introducción a los números binarios, hexadecimal y octal: El sistema de numeración binario y los códigos digitales son fundamentales en electrónica digital,

Más detalles

SUBSISTEMAS COMBINACIONALES. Tema 4: SUBSISTEMAS COMBINACIONALES

SUBSISTEMAS COMBINACIONALES. Tema 4: SUBSISTEMAS COMBINACIONALES Tema 4: SUBSISTEMAS COMBINACIONALES Contenido * Circuitos integrados MSI/LSI. * Subsistemas de propósito específico: * decodificadores, codificadores, convertidores de código * codificadores de prioridad

Más detalles

ESTRUCTURA Y TECNOLOGÍA DE COMPUTADORES PRÁCTICAS DE LÓGICA CABLEADA

ESTRUCTURA Y TECNOLOGÍA DE COMPUTADORES PRÁCTICAS DE LÓGICA CABLEADA ESTRUCTURA Y TECNOLOGÍA DE COMPUTADORES PRÁCTICAS DE LÓGICA CABLEADA INGENIERÍA TÉCNICA EN INFORMÁTICA DE GESTIÓN - 2008 PRÁCTICAS DE ESTRUCTURA Y TECNOLOGÍA DE COMPUTADORES Página 2 INTRODUCCIÓN En el

Más detalles

Bloques estandarizados

Bloques estandarizados Bloques estandarizados Mario Medina C. mariomedina@udec.cl Más allá de las puertas lógicas Complejidad creciente de diseños hace necesario buscar nuevos niveles de abstracción por sobre las compuertas

Más detalles

Oliverio J. Santana Jaria. Sistemas Digitales Ingeniería Técnica en Informática de Sistemas Curso Los objetivos de este tema son:

Oliverio J. Santana Jaria. Sistemas Digitales Ingeniería Técnica en Informática de Sistemas Curso Los objetivos de este tema son: 3. Circuitos aritméticos ticos Oliverio J. Santana Jaria Sistemas Digitales Ingeniería Técnica en Informática de Sistemas Curso 2006 2007 Introducción La realización de operaciones aritméticas y lógicas

Más detalles

Bloques Combinacionales

Bloques Combinacionales Bloques Combinacionales 1. Comparadores 2. Sumadores y Semisumadores 3. Multiplexores Demultiplexores 4. Codificadores Decodificadores 5. Convertidores de código 6. Generadores /comprobadores de paridad

Más detalles

Generación de funciones lógicas mediante multiplexores

Generación de funciones lógicas mediante multiplexores Generación de funciones lógicas mediante multiplexores Apellidos, nombre Martí Campoy, Antonio (amarti@disca.upv.es) Departamento Centro Informática de Sistemas y Computadores Universidad Politécnica de

Más detalles

Capacidad : Número de valores diferentes que puede representar un código. Depende del número de dígitos usados.

Capacidad : Número de valores diferentes que puede representar un código. Depende del número de dígitos usados. CAPITULO Nº2 CÓDIGOS. 2.1 Definiciones. Funciones de la codificación: Transmitir en forma fácil, rápida y segura los datos. Facilitar el procesamiento de datos. Descubrir y corregir errores. Mantener en

Más detalles

Circuitos Electrónicos Digitales E.T.S.I. Telecomunicación Universidad Politécnica de Madrid. Circuitos combinacionales

Circuitos Electrónicos Digitales E.T.S.I. Telecomunicación Universidad Politécnica de Madrid. Circuitos combinacionales Circuitos Electrónicos Digitales E.T.S.I. Telecomunicación Universidad Politécnica de Madrid Circuitos combinacionales Puertas lógicas simples y complejas. Multiplexores. Elementos varios: codificadores

Más detalles

Práctica No. 1. Titulo: Circuitos Lógicos Combinatorios

Práctica No. 1. Titulo: Circuitos Lógicos Combinatorios Práctica No. 1 Titulo: Circuitos Lógicos Combinatorios Objetivo: El alumno pondrá en práctica las distintas técnicas de programación en VHDL mediante tres ejemplos, en los cuales se implementarán algunas

Más detalles

Sistemas Combinacionales

Sistemas Combinacionales Sistemas Combinacionales Tipos de Sistemas Digitales Puertas Lógicas Bloques Combinacionales Multiplexores Decodificadores/demultiplexores Decodificadores BCD a 7 segmentos Codificadores Comparadores Sumadores

Más detalles

Puertas lógicas NAND, NOR y OR exclusiva Práctica # 10

Puertas lógicas NAND, NOR y OR exclusiva Práctica # 10 Objetivos Puertas lógicas NAND, NOR y OR exclusiva Práctica # 10 Estudiar la operación y uso de las compuertas NAND y NOR Investigar la relación entre las entradas y las salidas de la puerta OR exclusiva

Más detalles

Fundamentos de los Computadores Grado en Ingeniería Informática

Fundamentos de los Computadores Grado en Ingeniería Informática 3.1 Circuitos aritmético-lógicos Fundamentos de los Computadores Grado en Ingeniería Informática Introducción La realización de operaciones aritméticas y lógicas es una de las principales i razones de

Más detalles

Circuitos combinacionales. Funciones integradas

Circuitos combinacionales. Funciones integradas Circuitos combinacionales. Funciones integradas Salvador Marcos González salvador.marcos@uah.es Funciones integradas Introducción La introducción en el diseño de sistemas digitales de circuitos MSI (media

Más detalles

CIRCUITOS LOGICOS DE TRES ESTADOS.

CIRCUITOS LOGICOS DE TRES ESTADOS. Página 1 CIRCUITOS LOGICOS DE TRES ESTADOS. Las señales lógicas se componen de dos estados normales, Alto y Bajo (1 o 0). Sin embargo, algunas salidas tienen un tercer estado eléctrico que no es un estado

Más detalles

Ejemplo de diseño del Proyecto N 1

Ejemplo de diseño del Proyecto N 1 Ejemplo de diseño del Proyecto N DEPATAENT DE ELECTNCA La empresa de potabilización de agua dispone de un tanque de decantación y desea desde una sala de control monitorear algunas características de los

Más detalles

ÍNDICE AUTORES...13 PRÓLOGO...19 INTRODUCCIÓN...21 SIMBOLOGÍA Y NOMENCLATURA...25 PROGRAMAS UTILIZADOS...29

ÍNDICE AUTORES...13 PRÓLOGO...19 INTRODUCCIÓN...21 SIMBOLOGÍA Y NOMENCLATURA...25 PROGRAMAS UTILIZADOS...29 ÍNDICE AUTORES...13 PRÓLOGO...19 INTRODUCCIÓN...21 SIMBOLOGÍA Y NOMENCLATURA...25 PROGRAMAS UTILIZADOS...29 CAPÍTULO 1. FUNDAMENTOS GENERALES DE LA ELECTRÓNICA GENERAL...35 1.1 SISTEMAS ANALÓGICOS Y DIGITALES...36

Más detalles

ÍNDICE CAPÍTULO 1. CÓDIGOS DE NUMERACIÓN CAPÍTULO 2. ÁLGEBRA DE CONMUTACIÓN Y FUNCIONES LÓGICAS... 37

ÍNDICE CAPÍTULO 1. CÓDIGOS DE NUMERACIÓN CAPÍTULO 2. ÁLGEBRA DE CONMUTACIÓN Y FUNCIONES LÓGICAS... 37 ÍNDICE LISTA DE FIGURAS... 7 LISTA DE TABLAS... 11 CAPÍTULO 1. CÓDIGOS DE NUMERACIÓN... 13 1.1. REPRESENTACIÓN DE LA INFORMACIÓN... 15 1.2. SISTEMAS DE NUMERACIÓN BINARIO NATURAL Y HEXADECIMAL... 18 1.3.

Más detalles

Lógica y compuertas (Parte 2): Circuitos Combinacionales y Secuenciales

Lógica y compuertas (Parte 2): Circuitos Combinacionales y Secuenciales Práctica 4 Lógica y compuertas (Parte 2): Circuitos Combinacionales y Secuenciales Objetivos de la práctica: que el alumno domine Circuitos lógicos y diagramas de compuertas Introducción a equivalencias

Más detalles

CURSO: ELECTRÓNICA DIGITAL SISTEMAS COMBINATORIOS - TEORÍA PROFESOR: ING. JORGE ANTONIO POLANÍA

CURSO: ELECTRÓNICA DIGITAL SISTEMAS COMBINATORIOS - TEORÍA PROFESOR: ING. JORGE ANTONIO POLANÍA CURSO: ELECTRÓNICA DIGITAL SISTEMAS COMBINATORIOS - TEORÍA PROFESOR: ING. JORGE ANTONIO POLANÍA En esta unidad usted aprenderá a utilizar los diferentes circuitos integrados que se han fabricado para resolver

Más detalles

+18 = 00010010-18 = 10010010

+18 = 00010010-18 = 10010010 Capítulo 8. Aritmética del Procesador Las dos preocupaciones principales de la aritmética de una computadora son la manera en que se representan los números (el formato binario) y los algoritmos utilizados

Más detalles

Pequeño Manual del Protoboard

Pequeño Manual del Protoboard Pequeño Manual del Protoboard Curso Análisis de Circuitos Rodrigo Moreno V. Descripción El protoboard es una tabla que permite interconectar componentes electrónicos sin necesidad de soldarlos. Así, se

Más detalles

DISEÑO LÓGICO DISEÑO LÓGICO

DISEÑO LÓGICO DISEÑO LÓGICO DISEÑO LÓGICO RESOLUCIÓN DE PROBLEMAS ABIERTOS DE INGENIERÍA Habitualmente el Diseño Lógico se inserta en un proceso más amplio de la resolución de problemas abiertos de ingeniería. Podríamos especificar

Más detalles

PRÁCTICAS DE ELECTRÓNICA DIGITAL

PRÁCTICAS DE ELECTRÓNICA DIGITAL PRÁCTICAS DE ELECTRÓNICA DIGITAL Práctica 0: CONEXIÓN DE LOS CIRCUITOS INTEGRADOS (C.I.) 1º: Para que funcionen correctamente, han de estar conectados a una tensión de 5V. Para realizar esto, el polo (+)

Más detalles

CAPÍTULO II: DISPOSITIVOS LÓGICOS PROGRAMABLES DISPOSITIVOS LÓGICOS PROGRAMABLES IEC FRANCISCO JAVIER TORRES VALLE

CAPÍTULO II: DISPOSITIVOS LÓGICOS PROGRAMABLES DISPOSITIVOS LÓGICOS PROGRAMABLES IEC FRANCISCO JAVIER TORRES VALLE II DISPOSITIVOS LÓGICOS PROGRAMABLES 9 2.1 CONCEPTOS FUNDAMENTALES muestran los circuitos básicos para la mayoría de los PLDs. La fabricación de dispositivos de lógica programable se basa en los siguientes

Más detalles

UNIVERSIDAD DEL VALLE ESCUELA DE INGENIERIA ELÉCTRICA Y ELÉCTRONICA CÁTEDRA DE PERCEPCIÓN Y SISTEMAS INTELIGENTES

UNIVERSIDAD DEL VALLE ESCUELA DE INGENIERIA ELÉCTRICA Y ELÉCTRONICA CÁTEDRA DE PERCEPCIÓN Y SISTEMAS INTELIGENTES UNIVERSIDAD DEL VALLE ESCUELA DE INGENIERIA ELÉCTRICA Y ELÉCTRONICA CÁTEDRA DE PERCEPCIÓN Y SISTEMAS INTELIGENTES LABORATORIO No. 4 Fundamentos de electrónica Compuertas Lógicas I. OBJETIVOS. Conocer el

Más detalles

FUNDAMENTOS DE SISTEMAS DIGITALES. Tema 3: Lógica combinacional (II): Ruta de datos

FUNDAMENTOS DE SISTEMAS DIGITALES. Tema 3: Lógica combinacional (II): Ruta de datos FUNDAMENTOS DE SISTEMAS DIGITALES Tema 3: Lógica combinacional (II): Ruta de datos 1 Programa 1. Circuitos selectores de datos (multiplexores). 2. Demultiplexores. 3. Codificadores con prioridad. 4. Amplificadores

Más detalles

Diseño combinacional (Parte #2) Mapas de Karnaugh

Diseño combinacional (Parte #2) Mapas de Karnaugh Departamento de Electrónica Electrónica Digital Diseño combinacional (Parte #2) Mapas de Karnaugh Facultad de Ingeniería Bioingeniería Universidad Nacional de Entre Ríos Procedimiento de diseño de un circuito

Más detalles

COMPUERTAS LÓGICAS SEPA CUALES SON Y COMO SE COMPORTAN LAS DISTINTAS. Principal Documentos Proyectos Productos Links Contacto [[EN CONSTRUCCION ]]

COMPUERTAS LÓGICAS SEPA CUALES SON Y COMO SE COMPORTAN LAS DISTINTAS. Principal Documentos Proyectos Productos Links Contacto [[EN CONSTRUCCION ]] [[EN CONSTRUCCION ]] Principal Documentos Proyectos Productos Links Contacto Compuertas lógicas. SEPA CUALES SON Y COMO SE COMPORTAN LAS DISTINTAS COMPUERTAS LÓGICAS INTRODUCCIÓN: Dentro de la electrónica

Más detalles

IDENTIDAD EN PRECISIÓN VARIABLE

IDENTIDAD EN PRECISIÓN VARIABLE Contenido INTRODUCCIÓN 3 Motivación 5 Objetivos 9 Conocimiento actual y problemas abiertos 13 Formulación del problema y propuesta de solución 25 IDENTIDAD EN PRECISIÓN VARIABLE 35 Representación de los

Más detalles

Tema 9. SISTEMAS COMBINACIONALES PROGRAMABLES SISTEMAS COMBINACIONALES PROGRAMABLES NO UNIVERSALES

Tema 9. SISTEMAS COMBINACIONALES PROGRAMABLES SISTEMAS COMBINACIONALES PROGRAMABLES NO UNIVERSALES Fundamentos de Computadores. Sistemas Combinacionales Programables. T9-1 Tema 9. SISTEMAS COMBINACIONALES PROGRAMABLES INDICE: INTRODUCCIÓN CLASIFICACION DE LOS SCP SISTEMAS COMBINACIONALES PROGRAMABLES

Más detalles

TEMA 8. CIRCUITOS COMBINACIONALES

TEMA 8. CIRCUITOS COMBINACIONALES TEMA 8. CIRCUITOS COMBINACIONALES http://www.tech-faq.com/wp-content/uploads/images/integrated-circuit-layout.jpg IEEE 25 Aniversary: http://www.flickr.com/photos/ieee25/with/289342254/ TEMA 8 CIRCUITOS

Más detalles

Bloque IV: Electrónica digital

Bloque IV: Electrónica digital Bloque IV: Electrónica digital.introducción Una señal analógica es aquella que puede tomar infinitos valores para representar la información. En cambio en una señal digital se utiliza sólo un número finito

Más detalles

Centro Asociado Palma de Mallorca. Tutor: Antonio Rivero Cuesta

Centro Asociado Palma de Mallorca. Tutor: Antonio Rivero Cuesta Centro Asociado Palma de Mallorca Arquitectura de Ordenadores Tutor: Antonio Rivero Cuesta Unidad Didáctica 1 Representación de la Información y Funciones Lógicas Tema 2 Aritmética y Codificación Aritmética

Más detalles

SISTEMAS DE NUMERACIÓN

SISTEMAS DE NUMERACIÓN SISTEMAS DE NUMERACIÓN INDICE. 1. DEFINICIÓN DE SISTEMAS DE NUMERACIÓN.... 1 2. TEOREMA FUNDAMENTAL DE LA NUMERACIÓN... 3 3. SISTEMAS DECIMAL, BINARIO, OCTAL Y HEXADECIMAL.... 5 3.1. SISTEMA DECIMAL...

Más detalles

Expresión, Operador, Operando, Asignación, Prioridad

Expresión, Operador, Operando, Asignación, Prioridad 4. EXPRESIONES Y OPERADORES Conceptos: Resumen: Expresión, Operador, Operando, Asignación, Prioridad En este tema se presentan los siguientes elementos de la programación: las expresiones y los operadores.

Más detalles

INSTITUTO POLITÉCNICO NACIONAL ESCUELA SUPERIOR DE INGENIERÍA MECÁNICA Y ELÉCTRICA INGENIERÍA EN COMUNICACIONES Y ELECTRÓNICA

INSTITUTO POLITÉCNICO NACIONAL ESCUELA SUPERIOR DE INGENIERÍA MECÁNICA Y ELÉCTRICA INGENIERÍA EN COMUNICACIONES Y ELECTRÓNICA INSTITUTO POLITÉCNICO NACIONAL ESCUELA SUPERIOR DE INGENIERÍA MECÁNICA Y ELÉCTRICA INGENIERÍA EN COMUNICACIONES Y ELECTRÓNICA PRÁCTICAS DE CIRCUITOS LÓGICOS LABORATORIO DE COMPUTACIÓN IV PRÁCTICA 6 NOMBRE

Más detalles

TEMA 3 BLOQUES COMBINACIONALES.

TEMA 3 BLOQUES COMBINACIONALES. TEMA 3 BLOQUES COMBINACIONALES. Objetivos. Describir la diferencia entre circuitos combinacionales y secuenciales. Interpretar la función de un multiplexor, un demultiplexor, un codificador y un decodificador.

Más detalles

ARQUITECTURAS ESPECIALES

ARQUITECTURAS ESPECIALES ARQUITECTURAS ESPECIALES EL - 337 Página Qué es un Multiplexor? EL - 337 Un multiplexor o MUX es un switch digital (interruptor digital) que conecta una de las entradas con su única salida. Desde el punto

Más detalles

HOJA DE PROBLEMAS 6: MÓDULOS COMBINACIONALES BÁSICOS

HOJA DE PROBLEMAS 6: MÓDULOS COMBINACIONALES BÁSICOS f Universidad Rey Juan Carlos Grado en Ingeniería Informática Fundamentos de Computadores HOJA DE PROBLEMAS 6: MÓDULOS COMBINACIONALES BÁSICOS. Dado el módulo combinacional de la figura se pide dibujar

Más detalles

Suma de productos Producto de sumas. Fundamentos de los Computadores Grado en Ingeniería Informática

Suma de productos Producto de sumas. Fundamentos de los Computadores Grado en Ingeniería Informática 2. Simplificación de funciones booleanas: as Método de Karnaugh aug Suma de productos Producto de sumas Fundamentos de los Computadores Grado en Ingeniería Informática Introducción Los circuitos digitales

Más detalles

ELECTRÓNICA DIGITAL. Ejercicios propuestos Tema 3

ELECTRÓNICA DIGITAL. Ejercicios propuestos Tema 3 ELECTRÓNICA DIGITAL Ejercicios propuestos Tema Ejercicio. Convertir a binario natural, los siguientes números expresados en formato decimal. Puedes predecir a priori los bits que necesitarás para la representación

Más detalles

Representación de números enteros: el convenio complemento a dos

Representación de números enteros: el convenio complemento a dos Representación de números enteros: el convenio complemento a dos Apellidos, nombre Martí Campoy, Antonio (amarti@disca.upv.es) Departamento Centro Informàtica de Sistemes i Computadors Escola Tècnica Superior

Más detalles

INSTITUTO POLITÉCNICO NACIONAL ESCUELA SUPERIOR DE INGENIERIA MECANICA Y ELECTRICA INGENIERIA EN COMUNICACIONES Y ELECTRÓNICA ACADEMIA DE COMPUTACIÓN

INSTITUTO POLITÉCNICO NACIONAL ESCUELA SUPERIOR DE INGENIERIA MECANICA Y ELECTRICA INGENIERIA EN COMUNICACIONES Y ELECTRÓNICA ACADEMIA DE COMPUTACIÓN INSTITUTO POLITÉCNICO NACIONAL ESCUELA SUPERIOR DE INGENIERIA MECANICA Y ELECTRICA UNIDAD CULHUACAN INGENIERIA EN COMUNICACIONES Y ELECTRÓNICA ACADEMIA DE COMPUTACIÓN LABORATORIO DE CIRCUITOS DIGITALES

Más detalles

SISTEMAS DE NUMERACION

SISTEMAS DE NUMERACION SISTEMA DECIMAL Este sistema consta de diez símbolos que van desde el numero 0 hasta el numero 9, los cuales le dan la característica principal a este sistema conocido por todo el mundo. Estos símbolos

Más detalles

5.2. Sistemas de codificación en binario

5.2. Sistemas de codificación en binario 5.2. Sistemas de codificación en binario 5.2.1. Sistemas numéricos posicionales [ Wakerly 2.1 pág. 26] 5.2.2. Números octales y hexadecimales [ Wakerly 2.2 pág. 27] 5.2.3. Conversión general de sistemas

Más detalles

Funciones Lógicas Y Métodos De Minimización

Funciones Lógicas Y Métodos De Minimización Circuitos Digitales I Tema III Funciones Lógicas Y Métodos De Minimización Luis Tarazona, UNEXPO Barquisimeto EL-3213 Circuitos Digitales I - 2004 75 Funciones lógicas Circuito combinacional: Un circuito

Más detalles

INTRODUCCION A PLC. Autor: Angel M Alicea, PE

INTRODUCCION A PLC. Autor: Angel M Alicea, PE INTRODUCCION A PLC Autor: Angel M Alicea, PE Controles de Lógica Programable Prof. Egberto Hernández EX#1-Repaso PLC Parte #2 Prof.ehernandez@hotmail.com www.profehernandez.weebly.com Conversión de Puertas

Más detalles

Tema 1 Sistemas de numeración

Tema 1 Sistemas de numeración Tema 1 Sistemas de numeración 1.1 - Sistema de numeración BINARIO En el sistema binario de numeración existen solamente dos símbolos distintos: el 0 y el 1. A primera vista puede resultar una forma de

Más detalles

Circuitos Electrónicos Digitales E.T.S.I. Telecomunicación Universidad Politécnica de Madrid. Circuitos Secuenciales

Circuitos Electrónicos Digitales E.T.S.I. Telecomunicación Universidad Politécnica de Madrid. Circuitos Secuenciales Circuitos Electrónicos Digitales E.T.S.I. Telecomunicación Universidad Politécnica de Madrid Circuitos Secuenciales Circuitos secuenciales. Biestables. Registros. Contadores. Registros de desplazamiento

Más detalles

TEMA 5.3 SISTEMAS DIGITALES

TEMA 5.3 SISTEMAS DIGITALES TEMA 5.3 SISTEMAS DIGITALES TEMA 5 SISTEMAS DIGITALES FUNDAMENTOS DE ELECTRÓNICA 08 de enero de 2015 TEMA 5.3 SISTEMAS DIGITALES Introducción Sistemas combinacionales Sistemas secuenciales TEMA 5.3 SISTEMAS

Más detalles

EIE SISTEMAS DIGITALES Tema 6: Funciones de la lógica combinacional. Nombre del curso: Sistemas Digitales Nombre del docente: Héctor Vargas

EIE SISTEMAS DIGITALES Tema 6: Funciones de la lógica combinacional. Nombre del curso: Sistemas Digitales Nombre del docente: Héctor Vargas EIE 446 - ITEM DIGITLE Tema 6: Funciones de la lógica combinacional Nombre del curso: istemas Digitales Nombre del docente: Héctor Vargas OBJETIVO DE L UNIDD Distinguir entre semi-sumadores y sumadores

Más detalles

Componentes indispensables Un (1) 74LS181 ALU Un (1) 74 LS 47 Un display 7seg CA

Componentes indispensables Un (1) 74LS181 ALU Un (1) 74 LS 47 Un display 7seg CA Universidad Simón Bolívar Departamento de Electrónica y Circuitos EC1723, Circuitos Digitales Trimestre Laboratorio - Práctica 2: Circuitos Combinatorios de Media Escala de Integración Objetivo: Familiarizarse

Más detalles

TEMA 5 DISPOSITIVOS LÓGICOS PROGRAMABLES Y MEMORIAS. 1. Introducción. 2. Dispositivos lógicos programables.

TEMA 5 DISPOSITIVOS LÓGICOS PROGRAMABLES Y MEMORIAS. 1. Introducción. 2. Dispositivos lógicos programables. T-5 Dispositivos lógicos programables. Memorias. TEMA 5 DISPOSITIVOS LÓGICOS PROGRAMABLES Y MEMORIAS.. Introducción. Las modernas técnicas de circuitos integrados permiten combinar miles e incluso millones

Más detalles

Módulos combinacionales

Módulos combinacionales Capítulo Módulos combinacionale Módulos combinacionales Introducción Los fabricantes de chips electrónicos son muy listos. Por qué? Pues mira: primero sacaron a la venta chips donde se alojaban puertas

Más detalles

6 10 3,5 2,0 4,5. PROGRAMA DE CURSO Código Nombre EL 4002. Sistemas Digitales Nombre en Inglés Digital Systems SCT

6 10 3,5 2,0 4,5. PROGRAMA DE CURSO Código Nombre EL 4002. Sistemas Digitales Nombre en Inglés Digital Systems SCT PROGRAMA DE CURSO Código Nombre EL 4002 Sistemas Digitales Nombre en Inglés Digital Systems SCT Unidades Horas de Horas Docencia Horas de Trabajo Docentes Cátedra Auxiliar Personal 6 10 3,5 2,0 4,5 Requisitos

Más detalles

Tema 2. Funciones Lógicas. Algebra de Conmutación. Representación de circuitos digitales. Minimización de funciones lógicas.

Tema 2. Funciones Lógicas. Algebra de Conmutación. Representación de circuitos digitales. Minimización de funciones lógicas. Tema 2. Funciones Lógicas Algebra de Conmutación. Representación de circuitos digitales. Minimización de funciones lógicas. Álgebra de conmutación Algebra de Conmutación: Postulados y Teoremas. Representación

Más detalles

TEMA 1. Sistemas Combinacionales.

TEMA 1. Sistemas Combinacionales. TEMA. Sistemas Combinacionales.. Introducción a los sistemas digitales. Familias lógicas (2-20) 2. Definición de circuito combinacional (2-25) 3. Funciones combinacionales. Simplificación e implementación

Más detalles

Circuitos Combinatorios

Circuitos Combinatorios UNIDAD 5 Circuitos Combinatorios Introducción a la unidad Los circuitos combinatorios o circuitos combinacionales transforman un conjunto de entradas en un conjunto de salidas de acuerdo con una o más

Más detalles

Tema 3. Tema 3: Lógica Combinacional (II): Ruta de Datos.

Tema 3. Tema 3: Lógica Combinacional (II): Ruta de Datos. Tema 3 Tema 3: Lógica Combinacional (II): Ruta de Datos. 6.1 Circuitos selectores de datos (Multiplexor) Multiplexor = circuito con N entradas, 1 salida y n patillas de selección, tal que 2 n =N. Con

Más detalles

Los números naturales

Los números naturales Los números naturales Los números naturales Los números naturales son aquellos que sirven para contar. Se suelen representar utilizando las cifras del 0 al 9. signo suma o resultado Suma: 9 + 12 = 21 sumandos

Más detalles

Electrónica Digital: Sistemas Numéricos y Algebra de Boole

Electrónica Digital: Sistemas Numéricos y Algebra de Boole Electrónica Digital: Sistemas Numéricos y Algebra de Boole Profesor: Ing. Andrés Felipe Suárez Sánchez Grupo de Investigación en Percepción y Sistemas Inteligentes. Email: andres.suarez@correounivalle.edu.co

Más detalles

Componentes Combinacionales. Circuitos Digitales, 2º de Ingeniero de Telecomunicación ETSIT ULPGC

Componentes Combinacionales. Circuitos Digitales, 2º de Ingeniero de Telecomunicación ETSIT ULPGC Componentes Combinacionales Circuitos Digitales, 2º de Ingeniero de Telecomunicación ETSIT ULPGC Componentes Combinacionales Se emplean para: Transformación de datos Operaciones aritméticas (suma, resta...)

Más detalles

UNIVERSIDAD NACIONAL DEL SANTA Facultad de Ingeniería EAP INGENIERIA DE SISTEMAS E INFORMATICA

UNIVERSIDAD NACIONAL DEL SANTA Facultad de Ingeniería EAP INGENIERIA DE SISTEMAS E INFORMATICA UNIVERSIDAD NACIONAL DEL SANTA Facultad de Ingeniería EAP INGENIERIA DE SISTEMAS E INFORMATICA DISEÑO DE CIRCUITOS COMBINATORIOS USANDO EL CONVERTIDOR LOGICO DIGITAL PARA APLICACIONES EN SISTEMAS DIGITALES

Más detalles

Unidad 3: Circuitos digitales.

Unidad 3: Circuitos digitales. A-1 Appendix A - Digital Logic Unidad 3: Circuitos digitales. Diapositivas traducidas del libro Principles of Computer Architecture Miles Murdocca and Vincent Heuring Appendix A: Digital Logic A-2 Appendix

Más detalles

Arquitectura de Computadoras 2015 Práctico 03. Práctico 3. Álgebra de Boole. Método de Karnaugh. Circuitos lógicos combinatorios.

Arquitectura de Computadoras 2015 Práctico 03. Práctico 3. Álgebra de Boole. Método de Karnaugh. Circuitos lógicos combinatorios. Práctico 3 Álgebra de Boole. Método de Karnaugh. Circuitos lógicos combinatorios. Objetivo Conocer y entrenarse en las técnicas para la construcción de circuitos combinatorios de mediano porte. Conocer

Más detalles

Módulos combinacionales básicos. Tema 7

Módulos combinacionales básicos. Tema 7 Módulos combinacionales básicos Tema 7 Qué sabrás al final del capítulo? Funcionamiento de los módulos combinacionales básicos: Codificadores Decodificadores Multiplexores Demultiplexores Implementación

Más detalles

Circuitos Electrónicos Digitales. Subsistemas Combinacionales. Departamento de Tecnología Electrónica Universidad de Sevilla

Circuitos Electrónicos Digitales. Subsistemas Combinacionales. Departamento de Tecnología Electrónica Universidad de Sevilla Circuitos Electrónicos Digitales Subsistemas Combinacionales Índice.Subsistemas combinacionales 2.Subsistemas de propósito específico 3.Subsistemas de propósito general Subsistemas Combinacionales Facilitan

Más detalles

FUNDAMENTOS DE COMPUTADORES Ejercicios U2: Circuitos Combinacionales

FUNDAMENTOS DE COMPUTADORES Ejercicios U2: Circuitos Combinacionales U_. Se desea transmitir las primeras cuatro letras del alfabeto de un ordenador ORD a otro ORD. En el primero las cuatro letras están codificadas en tres líneas X, X y X y en el segundo tan sólo en dos,

Más detalles

Representación de números enteros: el convenio complemento a uno

Representación de números enteros: el convenio complemento a uno Representación de números enteros: el convenio complemento a uno Apellidos, nombre Martí Campoy, Antonio (amarti@disca.upv.es) Departamento Centro Informàtica de Sistemes i Computadors Escola Tècnica Superior

Más detalles

Sistemas Digitales I

Sistemas Digitales I UNIVERSIDAD INDUSTRIAL DE SANTANDER Sistemas Digitales I Taller No1 Profesor: Carlos A. Fajardo Mayo de 2015 Temas: Representación digital de los Datos, Algebra de Boole, Funciones Lógicas, Introducción

Más detalles

2. SISTEMAS Y CÓDIGOS DE NUMERACIÓN

2. SISTEMAS Y CÓDIGOS DE NUMERACIÓN Fundamentos de los Computadores.Sistemas y Códigos de Numeración. 1 2. SISTEMAS Y CÓDIGOS DE NUMERACIÓN Un Sistema de numeración es un conjunto de símbolos empleados para representar información numérica.

Más detalles

ESTRUCTURA Y TECNOLOGIA DE COMPUTADORES II Curso PROBLEMAS TEMA 4: Unidad Aritmético Lógica

ESTRUCTURA Y TECNOLOGIA DE COMPUTADORES II Curso PROBLEMAS TEMA 4: Unidad Aritmético Lógica Problemas propuestos en examen PROBLEMAS TEMA 4: Unidad Aritmético Lógica 4.1 Se desea realizar una Unidad Aritmético Lógica que realice dos operaciones, suma y comparación de dos números X (x 2 ) e Y

Más detalles

INSTITUTO POLITÉCNICO NACIONAL ESCUELA SUPERIOR DE INGENIERÍA MECÁNICA Y ELÉCTRICA INGENIERÍA EN COMUNICACIONES Y ELECTRÓNICA

INSTITUTO POLITÉCNICO NACIONAL ESCUELA SUPERIOR DE INGENIERÍA MECÁNICA Y ELÉCTRICA INGENIERÍA EN COMUNICACIONES Y ELECTRÓNICA INSTITUTO POLITÉCNICO NACIONAL ESCUELA SUPERIOR DE INGENIERÍA MECÁNICA Y ELÉCTRICA INGENIERÍA EN COMUNICACIONES Y ELECTRÓNICA PRÁCTICAS DE CIRCUITOS LÓGICOS LABORATORIO DE COMPUTACIÓN IV PRÁCTICA 5 NOMBRE

Más detalles

Álgebra de BOOLE. Tema 4

Álgebra de BOOLE. Tema 4 Álgebra de BOOLE Tema 4 1. Definición formal del álgebra de Boole. 2. Leyes y reglas del álgebra de Boole. 3. Operaciones y expresiones booleanas. 4. Formas canónicas de las expresiones booleanas. 5. Expresiones

Más detalles

PROGRAMA DE ESTUDIOS: LICENCIATURA EN INGENIERÍA EN SOFTWARE UNIDAD DE APRENDIZAJE: LÓGICA DIGITAL

PROGRAMA DE ESTUDIOS: LICENCIATURA EN INGENIERÍA EN SOFTWARE UNIDAD DE APRENDIZAJE: LÓGICA DIGITAL UNIVERSIDAD AUTÓNOMA DEL ESTADO DE MÉXICO UNIDAD ACADÉMICA PROFESIONAL TIANGUISTENCO PROGRAMA DE ESTUDIOS: LICENCIATURA EN INGENIERÍA EN SOFTWARE UNIDAD DE APRENDIZAJE: LÓGICA DIGITAL Unidad de competencia

Más detalles

Capítulo 4 Representación interna de los Datos

Capítulo 4 Representación interna de los Datos Curso Ingreso 013 Carrera Ingeniería en Computación - Facultad de Informática Facultad de Ingeniería Capítulo 4 Representación interna de los Datos Objetivos Los problemas resueltos en los capítulos anteriores

Más detalles

Circuitos combinacionales

Circuitos combinacionales Circuitos combinacionales Luis ntrena, Celia López, Mario García, nrique an Millán Universidad Carlos III de Madrid Circuitos combinacionales y secuenciales l Combinacionales: alida depende sólo de la

Más detalles

LEY DE OHM EXPERIMENTO 1. CIRCUITOS, TARJETAS DE EXPERIMENTACIÓN

LEY DE OHM EXPERIMENTO 1. CIRCUITOS, TARJETAS DE EXPERIMENTACIÓN LEY DE OHM EXPERIMENTO 1. CIRCUITOS, TARJETAS DE EXPERIMENTACIÓN Objetivos. Estudiar y familiarizarse con el tablero de conexiones (Protoboard ) y la circuitería experimental. Aprender a construir circuitos

Más detalles

Subsistemas aritméticos y lógicos. Tema 10

Subsistemas aritméticos y lógicos. Tema 10 Subsistemas aritméticos y lógicos Tema 10 Qué sabrás al final del capítulo? Diseño de Sumadores Binarios Semisumadores Sumador completo Sumador con acarreo serie Sumador / Restador Sumador BCD Diseño de

Más detalles

Sistema electrónico digital (binario) que procesa datos siguiendo unas instrucciones almacenadas en su memoria.

Sistema electrónico digital (binario) que procesa datos siguiendo unas instrucciones almacenadas en su memoria. 1.2. Jerarquía de niveles de un computador Qué es un computador? Sistema electrónico digital (binario) que procesa datos siguiendo unas instrucciones almacenadas en su memoria. Sistema complejo se estudia

Más detalles

1.- Realizar la función f = Σ (1,2,3,6,7) con MUX de 1, de 2 y de 3 variables de control. Discutir el coste y dar la solución óptima razonable.

1.- Realizar la función f = Σ (1,2,3,6,7) con MUX de 1, de 2 y de 3 variables de control. Discutir el coste y dar la solución óptima razonable. Relación de Problemas.- Realizar la unción = Σ (,,,6,7) con MUX de, de y de variables de control. Discutir el coste y dar la solución óptima razonable..- Realizar con MUX- la unción = xx+ xxx+ 5 xxx+ xxx+

Más detalles