28/09/2012. Interfaz con Dispositivos de Salida. Interfaz con Dispositivos de Entrada. Port Mapped. Memory mapped. Interfaz con Dispositivos I/O

Tamaño: px
Comenzar la demostración a partir de la página:

Download "28/09/2012. Interfaz con Dispositivos de Salida. Interfaz con Dispositivos de Entrada. Port Mapped. Memory mapped. Interfaz con Dispositivos I/O"

Transcripción

1 Interfaz con Dispositivos I/O Interfaz con Dispositivos de Salida y Salida Unidad 4, Segunda Parte Port Mapped Memory mapped 1 2 Ejecución de la Instrucción OUT Ejecución de la instrucción OUT Dirección de Código de Maquina Mnemónico 2050H D3 OUT (07H),A 2051H 07 El Z80 pone la dirección en el puerto de salida 07H en la parte baja del bus de direcciones (A7-A0) En el segundo ciclo de maquina T2, las señales IORQ y WR son activadas para indicar que se requiere de una operación de salida Un ciclo de espera es automáticamente insertado, no importando el estado de la señal WAIT Durante el ultimo ciclo IORQ y WR se desactivan. 3 4 Interfaz con Dispositivos de Salida La interfaz con dispositivos de salida debe ser capaz de Decodificar la parte baja del bus de direcciones para generar un pulso único correspondiente al puerto de salida Combinar la señal de decodificador con la señal WR para general el pulso de selección del dispositivo de I/O Usar un latch que nos permitirá tener acceso o negar acceso al dispositivo de I/O La señal de selección del dispositivo debe ser activa en alto debido al uso de latches Interfaz con Dispositivo de Salida 5 6 1

2 7475 Latch Tiene 4 latches biestables controlados por la señal de habilitación activa en alto E 1-2 habilita los primeros dos latches, mientras que E3-4 habilita los dos restantes Cuando E esta en alto, la entrada se vera reflejada en Q Cuando E hace la transición a bajo, el dato es conservado en las salidas Q 74LS373 El 74LS373 es un latch octal que incluye buffers triestado. El latch y el buffer son controlados independientemente por el Latch Enable (LE) y el Output Enable (OE) La ventaja de usar un latch octal es que contiene 8 latches en el paquete. Además, cuando el buffer no es habilitado permanece en alta impedancia, por lo tanto disminuye la carga en el bus 7 8 Interfaz con LEDs Ejemplo Se requiere hacer la interfaz con LEDs conectados al puerto 07H. Por lo cual la señal de selección del puerto debe ser activada cuando la dirección en la parte baja (A7-A0) es igual a La señal de habilitación al latch debe ser generada por la señal de selección del puerto mas la señal de IOREQ y WR Interfaz con LEDs 9 10 Interfaz con LED de 7 Segmentos Interfaz con LED de 7 Segmentos

3 Ejecución de la Instrucción IN Dirección de Código de Maquina Mnemónico 2065H DB IN A,(84H) 2066H 84 El Z80 pone la dirección en el puerto de salida 84H en la parte baja del bus de direcciones (A7-A0) En el segundo ciclo de maquina T2, las señales IORQ y RD son activadas para indicar que se requiere de una operación de entrada Un ciclo de espera es automáticamente insertado, no importando el estado de la señal WAIT Durante el ultimo ciclo IORQ y RD se desactivan. Ejecución de la instrucción IN La interfaz con dispositivos de entrada debe ser capaz de Decodificar la parte baja del bus de direcciones para generar un pulso único correspondiente al puerto de entrada Combinar la señal de decodificador con la señal RD para general el pulso de selección del dispositivo de I/O Usar un latch que nos permitirá tener acceso o negar acceso al dispositivo de I/O La señal de selección del dispositivo debe ser activa en bajo debido al uso de latches Interfaz con Conmutadores de Entrada Interfaz con un Dispositivo de Entrada A7 A6 A5 A4 A3 A2 A1 A0 Numero de Puerto H 0 1 8CH H 1 1 9CH

4 Probando la Interfaz RUTINA DE DIAGNOSTICO Lazo se ejecuta cada 14µs si el Z80 trabaja a 2MHz START: LD A,97H ; Carga 97H en el acumulador OUT (07H),A JP START Instrucciones Bytes T-States LD A,97H 2 7 (4,3) OUT (07H),A 3 11 (4,3,4) JP START 3 10 (4,3,3) ; Escribe al puerto 07H ; Salta de regreso a START Ciclos de Maquina M1 M2 M3 Escritura I/O Acceso a Dispositivos I/O Dispositivos de Entrada y Salida Port Mapped I/O Memory Mapped I/O IN OUT LD 19 Acceso a Dispositivos I/O Port Mapped El espacio de direccionamiento 64K es usado completamente para memoria Se utilizan las instrucciones especificas para dispositivos (IN,OUT) por lo que el código es mas fácil de leer Solo un método de direccionamiento es disponible que es el modo directo y la transferencia se hace solo con el acumulador Memory Mapped El espacio de direccionamiento de la memoria debe ser compartido con los dispositivos de I/O Se utiliza la instrucción LD para las operaciones por lo que los comentarios deben especificar que esa es una operación de Entrada y Salida Todos los métodos de direccionamiento están disponibles para dispositivos de I/O Ejecución de la Instrucción LD Dirección de Código de Maquina Mnemónico 2050H 32 LD (8000H),A 2051H H 80 La instrucción LD tiene 4 ciclos de maquina, los primeros 3 ciclos de maquina se encargan de obtener la instrucción. El ultimo ciclo de maquina realiza la escritura en forma similar a la instrucción OUT En el segundo ciclo de maquina T4, la dirección 8000H es puesta en el bus de dirección y las señales MREQ y WR son activadas para indicar que se requiere escribir en memoria 22 Ejecución de la instrucción LD Memory Mapped I/O Los pasos para seleccionar y transferir la información requiere de pasos similares a los requeridos en el modo de periféricos mapeados: Decodificar el bus de direcciones A15-A0 Combinar las señales MREQ y WR. Utilizar la decodificación de las direcciones combinadas con estas señales para general MSEL Usar la señal MSEL para habilitar el puerto de salida LD A,(16-bits) se usa para leer datos de un puerto de entrada y la interfaz será similar solo que se usara la señal RD en lugar de WR

5 Memory Mapped I/O Ejemplo Considere un sistema de control para: Aire acondicionado Calentador Cafetera Radio 4 Luces Todos los aparatos se encuentran conectados a un sistema basado en microprocesador y son manejados por un conjunto de switches conectados al puerto de entrada del microprocesador Ejemplo Señales de Control y Dirección RD WR MREQ Señal de Control Salida MEMWR O MEMRD O2 Entradas del Decodificador 1 A15 A14 A13 A12 A11 A10 A9 A8 A7 A6 A5 A4 A3 A2 A1 A FF FF Líneas de Habilitación Decodificado 1 Líneas de Habilitación Decodificado 2 8-input NAND Programa Ejemplo 29 del Puerto de Entrada READ: LD A,(86FFH) ; Lee los conmutadores CPL ; Complementa la lectura de los switches LD (82FFH),A ; Enciende o apaga los dispositivos de salida JP READ ; Regresa a leer nuevamente del Puerto de Entrada Probando la Interfaz RUTINA DE DIAGNOSTICO 30 Lazo se ejecuta cada 15µs si el Z80 trabaja a 2MHz START: LD A,97H ; Carga 97H en el acumulador LD (82FFH),A JP START Instrucciones Bytes T-States LD A,97H 2 7 (4,3) LD (82FFH),A 3 JP START 3 10 (4,3,3) ; Escribe al puerto 82FFH ; Salta de regreso a START Ciclos de Maquina M1 M2 M3 M4 13(4,3,3,3) Escritura puerto 5

6 Ejemplo El sistema debe desempeñar las siguientes funciones Si la temperatura alcanza los 35 C, Entonces el ventilador debe ser encendido para enfriar el ambiente De lo contrario, permanece sin cambio El comparador deberá ser conectado al sensor de temperatura y al latch que identifica el puerto de entrada El motor DC será conectado al transistor NPN Darlington y este será controlado por el latch que identifica al puerto de salida 31 Interfaz con Sensores y Motores La interfaz con dispositivos analógicos es similar a la interfaz con dispositivos binario Identificar el dispositivo por medio de una dirección Habilitar el intercambio de datos por medio de las señales de control Sin embargo, debemos detectar y convertir la señal analógica al formato binario y viceversa Las señales analógicas se manejan de dos maneras Detectar cuando la señal alcanza un valor predeterminado (Comparador) Convertir la señal a un formato binario proporcional a su magnitud (Convertidor Analógico Digital) 32 Sensor de Temperatura y Comparador LM135 es un sensor de temperatura con las siguientes características Su voltaje de salida cambia 10mV/C Su rango de operación es de -55 a 150 C El voltaje típico de salida a 25 C es 2.98V Su voltaje de salid a 37 C es 3.1 V LM311 es un comparador de voltaje que opera con un voltaje de alimentación de +5V LM311 compara dos voltajes y si la diferencia entre los voltajes es menor o igual a -10mV su salida es alrededor de 0.75 V De otra manera su salida es cercana al voltaje de alimentación, alrededor de + 4.5V 33 Motor La salida del microprocesador no es capaz de manejar el motor DC Por lo cual, se emplea un transistor NPN Darlington para que nos provea la potencia necesaria para activar el motor 34 Dirección y Programa A7 A6 A5 A4 A3 A2 A1 A H Entrada H Salida Líneas de Habilitación 3-input NAND Entradas del Decodificador START: IN A,(41H) ; Lee la salida del comparador AND 01H ; Guarda D0 y elimina el resto OUT (40H),A ; Enciende o apaga el motor JP START ; Regresa a leer nuevamente

7 Ejercicio Rediseña la siguiente interfaz para usar port mapped. Considera que el puerto de entrada es 1AH y el de salida es 1CH Solución

UNIDAD 2. Unidad de Microprocesador (MPU) Microprocesadores Otoño 2011

UNIDAD 2. Unidad de Microprocesador (MPU) Microprocesadores Otoño 2011 1 UNIDAD 2 Unidad de Microprocesador (MPU) Microprocesadores Otoño 2011 Contenido 2 Unidad de Microprocesador Generalizada Memoria Dispositivos de Entrada y Salida Sistemas basados en Microprocesadores

Más detalles

La decodificación y el mapeo de memoria es importante porque permite conectar mas de un dispositivo al microprocesador.

La decodificación y el mapeo de memoria es importante porque permite conectar mas de un dispositivo al microprocesador. MAPEO DE MEMORIA La decodificación y el mapeo de memoria es importante porque permite conectar mas de un dispositivo al microprocesador. Estos dispositivos pueden ser memorias (ROM y/o RAM), buffer s,

Más detalles

UNIDAD 3 ARQUITECTURA DEL Z80. Microprocesadores Otoño 2011

UNIDAD 3 ARQUITECTURA DEL Z80. Microprocesadores Otoño 2011 1 UNIDAD 3 ARQUITECTURA DEL Z80 Microprocesadores Otoño 2011 Contenido 2 Arquitectura interna del Z-80 Interface Ciclos de máquina y temporización del bus de comunicación. Conjunto de Instrucciones Arquitectura

Más detalles

PULSOS SELECTORES DE DISPOSITIVOS.

PULSOS SELECTORES DE DISPOSITIVOS. S. Introducción. En toda aplicación de un sistema de microcómputo se requiere generalmente de periféricos de entrada y/o salida, por lo tanto la CPU además de realizar las transferencias habituales desde

Más detalles

Señales de interfase del Z80

Señales de interfase del Z80 Señales de interfase del Z80 El microprocesador Z80 está integrado en una pastilla de 40 pines. Estos terminales pueden agruparse funcionalmente como muestra la figura: Bus de direcciones El bus de direcciones

Más detalles

PUERTOS DE ENTRADA / SALIDA EN UN MICROCONTROLADOR

PUERTOS DE ENTRADA / SALIDA EN UN MICROCONTROLADOR PUERTOS DE ENTRADA / SALIDA EN UN MICROCONTROLADOR Cualquier aplicación de un sistema digital basado en un microprocesador o microcontrolador requiere la transferencia de datos entre circuitos externos

Más detalles

La Unidad de Memoria y su

La Unidad de Memoria y su La Unidad Memoria y su conexión n al µp Forma en el que el µp realiza una operación escritura A15-A0 µp µp D7-D0 3 3 1 1 2 2 Chip Memoria MEM Bus Direcciones 16 16 bits bits Bus Datos Decodificación Decodificación

Más detalles

16/11/2011. Contenido. Comunicación con Dispositivos I/O. Comunicación con Dispositivos I/O. Comunicación con Dispositivos I/O.

16/11/2011. Contenido. Comunicación con Dispositivos I/O. Comunicación con Dispositivos I/O. Comunicación con Dispositivos I/O. Contenido Conceptos básicos de interrupciones de entrada/salida Convertidores A/D Y D/A Unidad 6 Dispositivos de Entrada/Salida Programables Microprocesadores Dispositivos Programables PPI 8255 Timer 8253

Más detalles

INTERFACE CON MEMORIA y E/S

INTERFACE CON MEMORIA y E/S Todos los sistemas con procesadores tienen tres canales o buses: Bus de direcciones que proporcionan dirección de memoria al numero de puerto de E/S. Bus de datos que transfiere información entre el procesador

Más detalles

Memoria y Entrada/Salida Tecnología Organización - Expansión

Memoria y Entrada/Salida Tecnología Organización - Expansión Universidad Simón Bolívar Departamento de Electrónica y Circuitos EC2721 Arquitectura del Computador I Prof. Osberth De Castro Clase 05 Memoria y Entrada/Salida Tecnología Organización - Expansión La memoria

Más detalles

DESCRIPCIÓN DEL DIAGRAMA DE BLOQUES

DESCRIPCIÓN DEL DIAGRAMA DE BLOQUES CONTROLADORA CNICE La tarjeta controladora CNICE es un sistema o equipo electrónico, que permite la comunicación entre el ordenador y el sistema o máquina a controlar, interpretando y adaptando las señales

Más detalles

MICROPROCESADORES I ELECTRONICA DIGITAL III INTERFASE PROGRAMABLE A PERIFERICOS PPI 8255

MICROPROCESADORES I ELECTRONICA DIGITAL III INTERFASE PROGRAMABLE A PERIFERICOS PPI 8255 MICROPROCESADORES I ELECTRONICA DIGITAL III INTERFASE PROGRAMABLE A PERIFERICOS PPI 8255 INTRODUCCIÓN Este integrado de la firma INTEL, conocido como PPI 8255 (Programmable Peripherical Interfase) realizado

Más detalles

Mapas de Memoria y E/S

Mapas de Memoria y E/S Mapas de Memoria y E/S Sistemas con Microprocesadores http://www.herrera.unt.edu.ar/procesadores Conexiones internas del CPU08 Dentro del mc el CPU08 se vincula con memoria y con los dispositivos de E/S

Más detalles

Salida activa: 0 o 1 Salida colector abierto (open collector) Salida Triestado (tristate). Modelo: Modelo: (Salida open collector)

Salida activa: 0 o 1 Salida colector abierto (open collector) Salida Triestado (tristate). Modelo: Modelo: (Salida open collector) 8-1 Salida activa: 0 o 1 Salida colector abierto (open collector) o Modelo: (Salida open collector) Vcc R Las llaves se cierran con un 1 Salida Triestado (tristate). Vcc o Modelo: (Salida tercer estado)

Más detalles

TARJETA DE ADQUISICIÓN DE DATOS Y CONTROL

TARJETA DE ADQUISICIÓN DE DATOS Y CONTROL UNIDAD VII TARJETA DE ADQUISICIÓN DE DATOS Y CONTROL Las computadoras personales tienen ranuras de expansión para conectar las tarjetas controladoras de periféricos (ver figura 7.1). Es en esta ranura

Más detalles

ESTRUCTURA FÍSICA DEL µp 8086

ESTRUCTURA FÍSICA DEL µp 8086 Características generales: Procesador de 16 bits Bus de direcciones de 20 bits : 1 Mbyte Bus de datos interno de 16 bits Bus de datos externo de 16 bits en el 8086 8 bits en el 8088 89 instrucciones Alimentación

Más detalles

ARQUITECTURA DEL MICROPROCESADOR 8088

ARQUITECTURA DEL MICROPROCESADOR 8088 ARQUITECTURA DEL MICROPROCESADOR 8088 1. Descripción de las 40 patas del µp. 2. Ciclo del bus del µp. 3. Circuitos para generar el pulso del reloj. 4. Circuito para generar la señal de RESET (restauración)

Más detalles

TRABAJO PRÁCTICO Nº 4. Sistemas combinacionales MSI

TRABAJO PRÁCTICO Nº 4. Sistemas combinacionales MSI Sistemas Digitales TRABAJO PRÁCTICO Nº 4 Sistemas combinacionales MSI Ejercicio Nº 1: Se desea transmitir datos de dos bits con control de paridad impar. Utilice un decodificador para diseñar un circuito

Más detalles

Ciclos de máquina. Otro ejemplo: decremento de un registro par (donde rp = HL, DE, BC, SP) DCX rp

Ciclos de máquina. Otro ejemplo: decremento de un registro par (donde rp = HL, DE, BC, SP) DCX rp Ciclos de máquina Otro ejemplo: decremento de un registro par (donde rp = HL, DE, BC, SP) DCX rp Acceso a periféricos: función del pin IO/M Este μp cuenta con dos instrucciones específicas para I/O, llamadas

Más detalles

ITT-327-T Microprocesadores

ITT-327-T Microprocesadores ITT-327-T Microprocesadores Controlador de Interfaz Paralelo Programable (PPI) 8255. Controlador de Interfaz Paralelo Programable (PPI) 8255. Es un periférico programable de E/S de aplicación general,

Más detalles

APUNTE DEL 8155 ELECTRÓNICA DIGITAL III

APUNTE DEL 8155 ELECTRÓNICA DIGITAL III APUNTE DEL 8155 ELECTRÓNICA DIGITAL III Revisión 1.1 Marzo, 2011 Interfaz a periférico 8155 Descripción general El chip 8155 es un dispositivo introducido por Intel en 1977. Contiene memoria RAM (SRAM)

Más detalles

Lógica cableada: Lógica programada:

Lógica cableada: Lógica programada: 1-1 Lógica cableada: Circuitos vistos en Diseño Lógico (Combinatoria, Modo reloj, RTL, ) Función fija determinada en el momento del diseño por las conexiones físicas entre los componentes del circuito

Más detalles

Microprocesador. Introducción. Instituto Sagrado Corazón de Jesús

Microprocesador. Introducción. Instituto Sagrado Corazón de Jesús Microprocesador Introducción El microprocesador es la parte de la computadora diseñada para llevar acabo o ejecutar los programas. Este viene siendo el cerebro de la computadora, el motor, el corazón de

Más detalles

Unidad V: Puertos y buses de comunicación para microcontroladores

Unidad V: Puertos y buses de comunicación para microcontroladores Unidad V: Puertos y buses de comunicación para microcontroladores OPERACIÓN DE ESCRITURA EN LOS PUERTOS DEL MICROCONTROLADOR 8051. La operación de escritura, utilizando los puertos puede ser realizada

Más detalles

Mapeo en el P 8086 de Intel

Mapeo en el P 8086 de Intel Mapeo en el P 8086 de Intel Ing. Silvia Domizi Ing. Diego Alegrecci Mapeo Microprocesador 8086 1 Introducción Mapeo Microprocesador 8086 2 Mapeo Mapear un dispositivo, es asignarle un intervalo definido

Más detalles

Decodificadores y Demultiplexores. Pedro Fernández Ignacio de la Rosa

Decodificadores y Demultiplexores. Pedro Fernández Ignacio de la Rosa Decodificadores y Demultiplexores Pedro Fernández Ignacio de la Rosa Decodificadores El trabajo de un decodificador, es recibir como entradas códigos en binario (N bits) y activar una de las M salidas,

Más detalles

Manual de prácticas del Laboratorio de Dispositivos de Almacenamiento y de Entrada/Salida

Manual de prácticas del Laboratorio de Dispositivos de Almacenamiento y de Entrada/Salida Secretaría/División: Área/Departamento: Manual de prácticas del Laboratorio de Dispositivos de Almacenamiento y de Entrada/Salida División de Ingeniería Eléctrica Departamento de Computación Memorias direccionables

Más detalles

Facultad de Ingeniería Eléctrica

Facultad de Ingeniería Eléctrica Facultad de Ingeniería Eléctrica Laboratorio de Electrónica Ing. Luís García Reyes Práctica Número 10 Demultiplexores Materia: Laboratorio de Electrónica Digital I Objetivo: Comprobación del funcionamiento

Más detalles

Ejercicio. Solución. Banderas. Banderas. Banderas 05/10/2011 UNIDAD 5 2 A PARTE PROGRAMANDO EN EL ENSAMBLADOR DEL Z80

Ejercicio. Solución. Banderas. Banderas. Banderas 05/10/2011 UNIDAD 5 2 A PARTE PROGRAMANDO EN EL ENSAMBLADOR DEL Z80 1 UNIDAD 5 2 A PARTE PROGRAMANDO EN EL ENSAMBLADOR DEL Z80 2 Cargue el numero F2H y 68H en los registros B y C respectivamente Almacene A2H en la locación de memoria 2065H Reste el 68H de F2H (F2H-68H)

Más detalles

Elementos constituyentes de la ejecución de un programa

Elementos constituyentes de la ejecución de un programa Micro-Operaciones En la ejecución de un programa en una computadora, se ejecutan instrucciones, que pueden subdividirse en ciclos: Búsqueda/Ejecución Cada ciclo se compone a su vez de una serie de operaciones

Más detalles

Tema 7. Entrada / Salida

Tema 7. Entrada / Salida Tema 7 Entrada / Salida Problemática Entrada/Salida Elementos claves en un computador: Procesador, memoria y módulos de E/S Cada módulo de E/S se conecta al bus del sistema y controla a uno o a más periféricos

Más detalles

ITT-327-T Microprocesadores

ITT-327-T Microprocesadores ITT-327-T Microprocesadores Temporizador Programable (PIT) 8254. Temporizador/Contador Programable (PIT) 8254. Es un contador/temporizador programable diseñado para trabajar con los sistemas de microcomputadores.

Más detalles

Tutoría 2. Banco de memoria de 8 y 16 bits (8086)

Tutoría 2. Banco de memoria de 8 y 16 bits (8086) Tutoría 2. Banco de memoria de 8 y 16 bits (8086) RESUMEN Cuando el procesador opera en modo mínimo, éste genera las señales de control para la memoria y los dispositivos de E/S. [1, pág. 292]. Para utilizar

Más detalles

MODULO DE 8 RELEVADORES

MODULO DE 8 RELEVADORES MODULO DE 8 RELEVADORES Modulo ideal para el control de cargas de corriente directa o alterna que puede ser utilizado con un microcontrolador, circuitos digitales o amplificadores operacionales Tabla de

Más detalles

Controladores Logicos Programables II

Controladores Logicos Programables II Controladores Logicos Programables II Manejo de Señales Analogicas Manejo de señales Analógicas Introducción: En el curso de PLC I, se discutieron técnicas para diseñar sistemas de control lógicos que

Más detalles

LECCIÓN Nº 02 FUNCIONES DE LOGICA COMBINACIONAL (PARTE 1)

LECCIÓN Nº 02 FUNCIONES DE LOGICA COMBINACIONAL (PARTE 1) LECCIÓN Nº 02 FUNCIONES DE LOGICA COMBINACIONAL (PARTE 1) 1. CONVERSORES DE CODIGO La disponibilidad de una gran variedad de códigos para los mismos elementos discretos de información origina el uso de

Más detalles

Conocer la aplicación de dispositivos semiconductores, como conmutadores, así como las compuertas lógicas básicas y sus tablas de verdad.

Conocer la aplicación de dispositivos semiconductores, como conmutadores, así como las compuertas lógicas básicas y sus tablas de verdad. OBJETIVO GENERAL: PRACTICA No. 1: PRINCIPIOS BÁSICOS Conocer la aplicación de dispositivos semiconductores, como conmutadores, así como las compuertas lógicas básicas y sus tablas de verdad. OBJETIVOS

Más detalles

2. PANTALLA ALFANUMÉRICA DE LCD (HITACHI HD44780U)

2. PANTALLA ALFANUMÉRICA DE LCD (HITACHI HD44780U) 2. PANTALLA ALFANUMÉRICA DE LCD (HITACHI HD44780U) Este controlador de la casa Hitachi se usa para mostrar caracteres alfanuméricos en paneles LCD de matriz pasiva (los típicos que se pueden encontrar

Más detalles

Práctico 9 Repaso general

Práctico 9 Repaso general Práctico 9 Repaso general Ejercicio 1 (Ex. Set. 94 Pr.2) Se desea diseñar un sistema basado en un Z80 con un mecanismo que permita, una vez en funcionamiento, redireccionar los dispositivos de entrada/salida

Más detalles

ESCUELA SUPERIOR POLITÉCNICA DEL LITORAL FACULTAD DE INGENIERIA MECANICA Y CIENCIAS DE LA PRODUCCIÓN SEGUNDA EVALUACIÓN DE ELECTRÓNICA

ESCUELA SUPERIOR POLITÉCNICA DEL LITORAL FACULTAD DE INGENIERIA MECANICA Y CIENCIAS DE LA PRODUCCIÓN SEGUNDA EVALUACIÓN DE ELECTRÓNICA ESCUELA SUPERIOR POLITÉCNICA DEL LITORAL FACULTAD DE INGENIERIA MECANICA Y CIENCIAS DE LA PRODUCCIÓN SEGUNDA EVALUACIÓN DE ELECTRÓNICA Alumno..: RUBRICA ABET Prof:M.Sc. Eduardo Mendieta..Fecha: 30/08/2010

Más detalles

ORGANIZACIÓN DEL MICROCOMPUTADOR

ORGANIZACIÓN DEL MICROCOMPUTADOR ORGANIZACIÓN DEL MICROCOMPUTADOR MICROPROCESADOR RAM ROM UNIDADES DE INTERCONEXIÓN BUS DE DIRECCIONES LINEAS DE CONTROL BUS I/O BUS DE DATOS ORGANIZACIÓN DEL MICROPROCESADOR INSTRUCCIONES DE UN MICROPROCESADOR

Más detalles

EXAMEN INDIVIDUAL NIVEL 2

EXAMEN INDIVIDUAL NIVEL 2 EXAMEN INDIVIDUAL NIVEL 2 OLIMPIADAS ONIET-2016- SEGUNDO NIVEL 1ª RONDA (Puntaje Total 200 Pts.) 1. Determine el rango de valores de Vi que mantendrá la corriente del diodo zener entre Izmáx igual a 60

Más detalles

Contenido. Conjunto de Instrucciones. Formato de la Instrucción. Instrucciones de 1 byte. Formato de la Instrucción 28/09/2011

Contenido. Conjunto de Instrucciones. Formato de la Instrucción. Instrucciones de 1 byte. Formato de la Instrucción 28/09/2011 1 ontenido 2 UNIDAD 5 EL LENGUAJE DE ENSAMBLADOR DEL Z80 onjunto de instrucciones Tipos de instrucciones Modos de direccionamiento El ensamblador del Z80 El simulador AVOET Implementación de un sistema

Más detalles

Operación de circuitos electrónicos a equipos de refrigeración y aire acondicionado: CONALEP SLRC DECODIFICADOR

Operación de circuitos electrónicos a equipos de refrigeración y aire acondicionado: CONALEP SLRC DECODIFICADOR DECODIFICADOR El decodificador es un circuito combinacional diseñado para convertir un número binario (entrada) en word de "unos" y "ceros" (niveles altos y bajos de voltaje) con un orden distinto, para

Más detalles

CONSTRUCCIÓN DE UN BRAZO ROBOT PARA SERVIR DE PLATAFORMA DE APRENDIZAJE

CONSTRUCCIÓN DE UN BRAZO ROBOT PARA SERVIR DE PLATAFORMA DE APRENDIZAJE CONSTRUCCIÓN DE UN BRAZO ROBOT PARA SERVIR DE PLATAFORMA DE APRENDIZAJE 1. Introducción Cadena, A. 1 ; Cortéz, D. 2 1. Centro de Visión y Robótica, 2. FIEC {acadena, dcortez}@fiec.espol.edu.ec En el presente

Más detalles

MODULO ANALOGICO REMOTO

MODULO ANALOGICO REMOTO MODULO ANALOGICO REMOTO ENTRADAS Y SALIDAS REMOTAS EN PLC MODICOM En diversas aplicaciones de automatización con PLCs, ya sea por razones de lograr la supervisión totalmente integrada de una planta o simplemente

Más detalles

El circuito integrado ADC1230, fabricado

El circuito integrado ADC1230, fabricado ADC12130 Conversor A/D serial de 12 bits GUILLERMO RAMOS RAMOS gramos@telesat.com.co Los conversores A/D seriales se caracterizan por su tamaño reducido y bajo costo. El circuito integrado que describimos

Más detalles

ORGANIZACIÓN DE LA MEMORIA

ORGANIZACIÓN DE LA MEMORIA Existen dos tipos de memoria en todos los µc, memoria de programa y memoria de datos. La primera (EPROM, EEPROM, FLASH, etc) contiene los códigos de operación que se ejecutarán para seguir una secuencia

Más detalles

Laboratorio de Casas Inteligentes Etapa de potencia Practica No. 3

Laboratorio de Casas Inteligentes Etapa de potencia Practica No. 3 Laboratorio de Casas Inteligentes Etapa de potencia Practica No. 3 Objetivo: Configurar las etapas de potencia que controlaran motores de corriente directa y lámparas. Conexión de la tarjeta del Arduino

Más detalles

Ing. Jose Luis Apaza Gutierrez MEMORIAS. Un elemento de memoria es aquel elemento capaz de almacenar un estado durante un tiempo determinado.

Ing. Jose Luis Apaza Gutierrez MEMORIAS. Un elemento de memoria es aquel elemento capaz de almacenar un estado durante un tiempo determinado. LABORATORIO # 9 Realización: MEMORIAS 1. OBJETIVOS Comprender la función del bus de datos, direcciones y las líneas de control lectura(read), escritura(write) y selección de chip (CS) en una menoria RAM.

Más detalles

DECODIFICADOR. Centro Universitario De Ciencias Exactas E Ingenierías Sistemas digitales

DECODIFICADOR. Centro Universitario De Ciencias Exactas E Ingenierías Sistemas digitales DECODIFICADOR Centro Universitario De Ciencias Exactas E Ingenierías Sistemas digitales QUE ES? El decodificador es un dispositivo que acepta una entrada digital codificada en binario y activa una salida.

Más detalles

Primer Microprocesador: 4004, Intel, año bits de ancho de palabra de datos Intel 8 bits dir. 64K Z80

Primer Microprocesador: 4004, Intel, año bits de ancho de palabra de datos Intel 8 bits dir. 64K Z80 2-1 Primer Microprocesador: 4004, Intel, año 1971. 4 bits de ancho de palabra de datos. 1971 Intel 4 bits dir. 4K 1972 Intel 8 bits dir. 16K 1973 Intel 8 bits dir. 64K 1977 Intel 8 bits dir. 64K 1978 Intel

Más detalles

Electrónica 5 EM ITS Lorenzo Massa Pagina 1 Unidad 6 - Ing. Juan Jesús Luna Amplificadores Operacionales

Electrónica 5 EM ITS Lorenzo Massa Pagina 1 Unidad 6 - Ing. Juan Jesús Luna Amplificadores Operacionales Electrónica 5 EM ITS Lorenzo Massa Pagina 1 Amplificadores Operacionales 1 Introducción: El Amplificador Operacional (en adelante, Operacional) es un tipo de circuito integrado que se usa en un sinfín

Más detalles

MICROPROCESADOR

MICROPROCESADOR 1 Facultad: Ingeniería. Escuela: Electrónica. Asignatura: Microprocesadores. Lugar de ejecución: Microprocesadores (Edificio 3, 2da planta). MICROPROCESADOR 80386. Objetivos específicos Conocer el hardware

Más detalles

Tecnología robótica. Tema 7.- Tarjeta controladora Arduino

Tecnología robótica. Tema 7.- Tarjeta controladora Arduino 1. Elementos electrónicos. 2. Placa Arduino. Sus componentes. 3. Software de Arduino. 4. Características de programación en Arduino. 5. Proyectos con la tarjeta controladora Arduino. 1. Elementos electrónicos.

Más detalles

La forma de manejar esta controladora es mediante un ordenador utilizando algún lenguaje de programación (Por ejemplo.: C, Visual Basic, Logo,...).

La forma de manejar esta controladora es mediante un ordenador utilizando algún lenguaje de programación (Por ejemplo.: C, Visual Basic, Logo,...). Instituto de Tecnologías Educativas Circuito de control El circuito de control es la parte más delicada de la controladora, ya que se encarga de controlar las entradas (Puerto LPT, Entradas Analógicas,

Más detalles

FUNDAMENTOS DE SISTEMAS DIGITALES. Tema 3: Lógica combinacional (II): Ruta de datos

FUNDAMENTOS DE SISTEMAS DIGITALES. Tema 3: Lógica combinacional (II): Ruta de datos FUNDAMENTOS DE SISTEMAS DIGITALES Tema 3: Lógica combinacional (II): Ruta de datos 1 Programa 1. Circuitos selectores de datos (multiplexores). 2. Demultiplexores. 3. Codificadores con prioridad. 4. Amplificadores

Más detalles

P1.0,... P1.7 7 EN3 EN2

P1.0,... P1.7 7 EN3 EN2 Ing. O. Richer Microprocesadores I Práctica #7 Manejo de un Display Trabajo en grupo. Conecte al microcontrolador 8051 un display formado por 5 dígitos a base de LED s de siete segmentos, como se muestra

Más detalles

Capítulo 3 Control maestro 3.1 Introducción

Capítulo 3 Control maestro 3.1 Introducción 59 Capítulo 3 Control maestro 3.1 Introducción El sistema está diseñado en su mayoría por circuitos integrados de tipo CMOS, lo cuáles proveen características de funcionalidad, desempeño y consumo de gran

Más detalles

Problema 5. Cálculo de la temporización. Programación del Lectura de la cuenta del temporizador 0

Problema 5. Cálculo de la temporización. Programación del Lectura de la cuenta del temporizador 0 Problema 5 Cálculo de la temporización Al ser el reloj externo de 100Khz, el periodo es de 10us. La temporización de 0,1s será de 100.000 us, por lo tanto el temporizador deberá contar hasta 10.000. Programación

Más detalles

INSTITUTO POLITÉCNICO NACIONAL ESCUELA SUPERIOR DE INGENIERIA MECANICA Y ELECTRICA INGENIERIA EN COMUNICACIONES Y ELECTRÓNICA ACADEMIA DE COMPUTACIÓN

INSTITUTO POLITÉCNICO NACIONAL ESCUELA SUPERIOR DE INGENIERIA MECANICA Y ELECTRICA INGENIERIA EN COMUNICACIONES Y ELECTRÓNICA ACADEMIA DE COMPUTACIÓN INSTITUTO POLITÉCNICO NACIONAL ESCUELA SUPERIOR DE INGENIERIA MECANICA Y ELECTRICA UNIDAD CULHUACAN INGENIERIA EN COMUNICACIONES Y ELECTRÓNICA ACADEMIA DE COMPUTACIÓN LABORATORIO DE CIRCUITOS DIGITALES

Más detalles

Tema 12 ELECTRÓNICA DIGITAL UNIDADES DE MEMORIA DIGITALES (PARTE 1) Enrique Mandado Pérez. Electrónica Digital: Unidades de memoria digitales

Tema 12 ELECTRÓNICA DIGITAL UNIDADES DE MEMORIA DIGITALES (PARTE 1) Enrique Mandado Pérez. Electrónica Digital: Unidades de memoria digitales ELECTRÓNICA DIGITAL Tema UNIDADES DE MEMORIA DIGITALES (PARTE ) Enrique Mandado Pérez DEFINICIÓN DE UNIDAD DE MEMORIA Conjunto de elementos capaces de memorizar el nivel de una variable binaria (), que

Más detalles

PUERTAS LOGICAS. Una tensión alta significa un 1 binario y una tensión baja significa un 0 binario.

PUERTAS LOGICAS. Una tensión alta significa un 1 binario y una tensión baja significa un 0 binario. PUERTAS LOGICAS Son bloques de construcción básica de los sistemas digitales; operan con números binarios, por lo que se denominan puertas lógicas binarias. En los circuitos digitales todos los voltajes,

Más detalles

41(T0)T.':#0&'4'4#T. Diseñar en VHDL un decodificador BCD a 7 segmentos que cumpla con las siguientes condiciones:

41(T0)T.':#0&'4'4#T. Diseñar en VHDL un decodificador BCD a 7 segmentos que cumpla con las siguientes condiciones: Diseñar en VHDL un decodificador BCD a 7 segmentos que cumpla con las siguientes condiciones: D Salidas activas en bajo para manejar display ánodo común E Entradas (4 bits) activas en bajo (o con lógica

Más detalles

INSTITUTO TECNOLÓGICO METROPOLITANO ITM GUÍA DE LABORATORIO INSTRUMENTACIÓN ELECTRÓNICA INTERFAZ ARDUINO - LABVIEW

INSTITUTO TECNOLÓGICO METROPOLITANO ITM GUÍA DE LABORATORIO INSTRUMENTACIÓN ELECTRÓNICA INTERFAZ ARDUINO - LABVIEW OBJETIVOS: Establecer la conexión entre ARDUINO y LABVIEW para realizar la lectura de un dato analógico y visualizarlo en forma de indicador. Usar una placa de ARDUINO como tarjeta de adquisición de datos

Más detalles

ESTRUCTURA DE INTERCONEXIÓN DE UN COMPUTADOR

ESTRUCTURA DE INTERCONEXIÓN DE UN COMPUTADOR ESTRUCTURA DE INTERCONEXIÓN DE UN COMPUTADOR 1 Arquitectura Von Neumann se fundamente en tres ideas: En la memoria del ordenador se almacenan indistintamente datos e instrucciones. Se puede acceder a la

Más detalles

Sincronismo de Canales

Sincronismo de Canales Sincronismo de Canales Los protocolos de transferencia de información en canales de microprocesadores, se pueden clasificar en tres grupos: 1. Sincrónicos: transferencias sincronizadas, una transferencia

Más detalles

BOLETIN 7: Subsistemas secuenciales

BOLETIN 7: Subsistemas secuenciales BOLETIN 7: Subsistemas secuenciales Problemas básicos P. Realice el diagrama de estados de un C.S.S. que funcione como un contador módulo 4 ascendente/descendente en función de una entrada de control.

Más detalles

Bloques estandarizados

Bloques estandarizados Bloques estandarizados Mario Medina C. mariomedina@udec.cl Más allá de las puertas lógicas Complejidad creciente de diseños hace necesario buscar nuevos niveles de abstracción por sobre las compuertas

Más detalles

Otros circuitos digitales. Actividad de apertura. Circuitos lógicos secuenciales.

Otros circuitos digitales. Actividad de apertura. Circuitos lógicos secuenciales. Otros circuitos digitales En esta unidad aprenderás: El funcionamiento de los codificadores y decodificadores Multiplexor y Demultiplexor Convertidor Digital-Análogo y Análogo-Digital UNIDAD 4 Actividad

Más detalles

MÓDULO Nº7 REGISTROS Y CONTADORES

MÓDULO Nº7 REGISTROS Y CONTADORES MÓDULO Nº7 REGISTROS Y CONTADORES UNIDAD: LÓGICA SECUENCIAL TEMAS: Registros. Contadores. OBJETIVOS: Explicar que es un registro, su clasificación y sus principales características. Explicar que es un

Más detalles

Arquitectura de computadores I

Arquitectura de computadores I Arquitectura de computadores I Perspectiva de alto nivel de los computadores Septiembre de 2017 Contenido Componentes del computador Funcionamiento del computador Estructuras de interconexión Interconexión

Más detalles

Para implementar una memoria de mayor capacidad se deben considerar los siguientes pasos:

Para implementar una memoria de mayor capacidad se deben considerar los siguientes pasos: UNIVERSIDAD NACIONAL AUTONOMA DE MEXICO FACULTAD DE INGENIERIA LAB. DISPOSITIVOS DE ALMACENAMIENTO Y DE E/S PREVIO #5 IMPLEMENTACION DE MEMORIAS DE MAYOR CAPACIDAD 1. Investigar los pasos que se deben

Más detalles

Tabla 4.1 Pines de conector DB50 de Scorbot-ER V Plus Motores Eje Motor Número de Pin Interfaz de Potencia 1 2Y (1) 2 3Y (1) 3 2Y (2) 4 4Y (2) 5

Tabla 4.1 Pines de conector DB50 de Scorbot-ER V Plus Motores Eje Motor Número de Pin Interfaz de Potencia 1 2Y (1) 2 3Y (1) 3 2Y (2) 4 4Y (2) 5 DISEÑO DE LA INTERFAZ ELECTRÓNICA.. CONFIGURACIÓN DEL CONECTOR DB0. El Scorbot viene provisto de fábrica de un conector DB0 el cual contiene el cableado hacia los elementos electrónicos del robot, en la

Más detalles

Práctica No. 3 Decodificación de direcciones y SRAM

Práctica No. 3 Decodificación de direcciones y SRAM Práctica No. Decodificación de direcciones y SRAM Objetivo: El alumno implementará un sistema de decodificación de memoria que permita verificar los procesos de lectura y escritura que el procesador realiza

Más detalles

RMS1-RM Manual del usuario

RMS1-RM Manual del usuario R4 www.exemys.com 1 Los Productos están en permanente evolución para satisfacer las necesidades de nuestros clientes. Por esta razón, las especificaciones y capacidades están sujetas a cambios sin previo

Más detalles

Integración de Equipos para Comunicaciones

Integración de Equipos para Comunicaciones Integración de Equipos para Comunicaciones Tema 3: Bus XT Aurelio Vega Martínez DIEA: Integración de Equipos para Comunicaciones. (Tema 3: Bus XT). Pág. 1 Introducción. Diseñado en un principio para trabajar

Más detalles

Arquitectura de Computadoras 2011

Arquitectura de Computadoras 2011 Arquitectura de Computadoras Unidad 7: Entrada/Salida Arquitectura de Computadoras Aspectos claves La arquitectura de E/S es su interfaz con el exterior Se diseña de manera sistemática para controlar las

Más detalles

BOLETÍN ELECTRÓNICA DIGITAL

BOLETÍN ELECTRÓNICA DIGITAL BOLETÍN ELECTRÓNICA DIGITAL Este obra cuyo autor es Pedro Landín ha sido publicada bajo una licencia de Creative Commons Reconocimiento-NoComercial- CompartirIgual 4.0 Internacional. BOLETÍN: ELECTRÓNICA

Más detalles

UNIDADES DE MEMORIA DIGITALES (PARTE 3) MEMORIAS DE ACCESO DIRECTO, ACCESO SECUENCIAL Y ASOCIATIVAS

UNIDADES DE MEMORIA DIGITALES (PARTE 3) MEMORIAS DE ACCESO DIRECTO, ACCESO SECUENCIAL Y ASOCIATIVAS SISTEMAS ELECTRÓNICOS DIGITALES BLOQUE UNIDADES DE MEMORIA DIGITALES (PARTE 3) MEMORIAS DE ACCESO DIRECTO, ACCESO SECUENCIAL Y ASOCIATIVAS Enrique Mandado Pérez María José Moure Rodríguez MEMORIAS DE ACCESO

Más detalles

Montaje. Vista frontal. LED amarillo: Salida de relé I. LED amarillo: Salida de relé II. Conmutador S1: (dirección de la.

Montaje. Vista frontal. LED amarillo: Salida de relé I. LED amarillo: Salida de relé II. Conmutador S1: (dirección de la. Amplificador Características Montaje canales Alimentación de 115/30 V CA Sensor PNP/NPN de 3 hilos o entrada push-pull Salida de contacto de relé Funciones seleccionables mediante interruptores DP Control

Más detalles

Arquitectura Interna del 8088

Arquitectura Interna del 8088 Arquitectura Interna del 8088 Intel diseñó el 8088/8086 para realizar al mismo tiempo las principales funciones internas de transferencia de datos y búsqueda de instrucciones. Para conseguir esto, el 8088

Más detalles

Prácticas con el microprocesador Z80

Prácticas con el microprocesador Z80 Page 1 of 7 Prácticas con el microprocesador Z80 MC. Juan Gilberto Mateos Suárez ARQUITECTURA DEL MICROPROCESADOR Z80 El Z80 fué el primero de la tercera generación, sin embargo es uno de los microprocesadores

Más detalles

MÓDULO Nº8 MEMORIAS SEMICONDUCTORAS

MÓDULO Nº8 MEMORIAS SEMICONDUCTORAS MÓDULO Nº MEMORIAS SEMICONDUCTORAS UNIDAD: LÓGICA PROGRAMABLE TEMAS: Concepto de Memoria. Memorias RAM. Memorias ROM. OBJETIVOS: Entender la diferencia entre lógica cableada y lógica programable. Explicar

Más detalles

^6+1 2^5+1 2^2+1 2^1+1 2^ ^6+1 2^0-65.

^6+1 2^5+1 2^2+1 2^1+1 2^ ^6+1 2^0-65. ELECTRÓNICA DIGITAL 23-I-2014 PREGUNTAS TEÓRICO PRÁCTICAS: 1. Determinar el valor decimal de los números expresados en Complemento a 2. (0.25 puntos). 10011001 10011000 01100111 1 2^6+1 2^5+1 2^2+1 2^1+1

Más detalles

Montaje. Vista frontal. LED amarillo: Salida de relé Ι. LED amarillo: Salida de relé ΙΙ. Conmutador S1: (dirección de la.

Montaje. Vista frontal. LED amarillo: Salida de relé Ι. LED amarillo: Salida de relé ΙΙ. Conmutador S1: (dirección de la. Amplificador Características Montaje canales Alimentación de 115/30 V CA Sensor PNP/NPN de 3 hilos o entrada push-pull Salida de contacto de relé Funciones seleccionables mediante interruptores DP Control

Más detalles

Figura 2. Formato de un dato serie síncrono.

Figura 2. Formato de un dato serie síncrono. ELECTRÓNICA DIGITAL II 1 COMUNICACIÓN SERIE EN EL 8051 En la comunicación serie los datos se transfieren bit por bit. Una interfaz en el microcontrolador los transfiere el dato en paralelo del CPU a serie

Más detalles

Tema 0. Introducción a los computadores

Tema 0. Introducción a los computadores Tema 0 Introducción a los computadores 1 Definición de computador Introducción Máquina capaz de realizar de forma automática y en una secuencia programada cierto número de operaciones sobre unos datos

Más detalles

Decodificador de Direcciones de Memoria en una GAL

Decodificador de Direcciones de Memoria en una GAL Decodificador de Direcciones de Memoria en una GAL La decodificación de memoria es una aplicación típica de los dispositivos lógicos programables, y la siguiente describe la implementación con ABEL-HDL

Más detalles

ESTRUCTURA DE MICROPROCESADORES

ESTRUCTURA DE MICROPROCESADORES ESTRUCTURA DE MICROPROCESADORES Interfaz básica de E/S Comunicación paralelo Profesor Ing. Johan Carvajal Godínez Interfaz básica de entrada/salida Permiten la comunicación de microprocesador con otros

Más detalles

Contenidos. Arquitectura de ordenadores (fundamentos teóricos) Elementos de un ordenador. Periféricos

Contenidos. Arquitectura de ordenadores (fundamentos teóricos) Elementos de un ordenador. Periféricos Arquitectura de ordenadores (fundamentos teóricos) Representación de la información Estructura de un microprocesador Memorias Sistemas de E/S Elementos de un ordenador Microprocesador Placa base Chipset

Más detalles

GUIAS ÚNICAS DE LABORATORIO SISTEMA DIGITAL BASADO EN MICROPROCESADOR AUTOR: ALBERTO CUERVO

GUIAS ÚNICAS DE LABORATORIO SISTEMA DIGITAL BASADO EN MICROPROCESADOR AUTOR: ALBERTO CUERVO GUIAS ÚNICAS DE LABORATORIO SISTEMA DIGITAL BASADO EN MICROPROCESADOR AUTOR: ALBERTO CUERVO SANTIAGO DE CALI UNIVERSIDAD SANTIAGO DE CALI DEPARTAMENTO DE LABORATORIOS SISTEMA DIGITAL BASADO EN MICROPROCESADOR

Más detalles

RMS1-RM Manual del usuario

RMS1-RM Manual del usuario R5 www.exemys.com 1 Los Productos están en permanente evolución para satisfacer las necesidades de nuestros clientes. Por esta razón, las especificaciones y capacidades están sujetas a cambios sin previo

Más detalles

CERRADURA ELÉCTRICA CODIFICADA

CERRADURA ELÉCTRICA CODIFICADA CERRADURA ELÉCTRICA CODIFICADA Componentes. (1) compuerta CMOS 4063 (2) compuertas TTL SN74LS193/SN74LS192 (2) compuertas TTL 74LS147 (2) compuertas CMOS 4063 (1) compuerta CMOS 4011 (1) compuerta CMOS

Más detalles

Velocidades Típicas de transferencia en Dispositivos I/O

Velocidades Típicas de transferencia en Dispositivos I/O Entradas Salidas Velocidades Típicas de transferencia en Dispositivos I/O Entradas/Salidas: Problemas Amplia variedad de periféricos Entrega de diferentes cantidades de datos Diferentes velocidades Variedad

Más detalles

Principios básicos de PLC y familia DirectLogic

Principios básicos de PLC y familia DirectLogic Principios básicos de PLC y familia DirectLogic Introducción El Controlador Lógico Programable (PLC) es una tecnología muy difundida para hacer automatización de procesos secuenciales, surgió como solución

Más detalles

DMA y Discos. María Elena Buemi. 15 de junio María Elena Buemi () DMA y Discos 15 de junio / 27

DMA y Discos. María Elena Buemi. 15 de junio María Elena Buemi () DMA y Discos 15 de junio / 27 DMA y Discos María Elena Buemi 15 de junio 2010 María Elena Buemi () DMA y Discos 15 de junio 2010 1 / 27 Transferencias entre Módulos María Elena Buemi () DMA y Discos 15 de junio 2010 2 / 27 DMA según

Más detalles

Instituto Tecnológico de Puebla Ingeniería Electrónica Control Digital

Instituto Tecnológico de Puebla Ingeniería Electrónica Control Digital Instituto Tecnológico de Puebla Ingeniería Electrónica Control Digital Actividad 5 CONVERTIDOR ANALÓGICO DIGITAL INTEGRADO Objetivos Comprobar experimentalmente el funcionamiento del convertidor analógico

Más detalles

ESTRUCTURA. Estructura básica de un computador ESTRUCTURA BÁSICA DE UN COMPUTADOR RELOJ. Unidad de control CPU ULA. Onda cuadrada RELOJ

ESTRUCTURA. Estructura básica de un computador ESTRUCTURA BÁSICA DE UN COMPUTADOR RELOJ. Unidad de control CPU ULA. Onda cuadrada RELOJ ESTRUCTURA BÁSICA DE UN COMPUTADOR RELOJ ESTRUCTURA CPU RELOJ MEMORIA ULA BUSES Unidad de control ULA Onda cuadrada Interna o principal Secundaria Banco de registros Operador (ALU) Reg. estados (Flags)

Más detalles

INSTRUCCIONES PARA CONFIGURAR UN MÓDULO DE SWITCH DE 8 CANALES (C4-DIN-8REL-E)

INSTRUCCIONES PARA CONFIGURAR UN MÓDULO DE SWITCH DE 8 CANALES (C4-DIN-8REL-E) INSTRUCCIONES PARA CONFIGURAR UN MÓDULO DE SWITCH DE 8 CANALES (C4-DIN-8REL-E) R Antes de usar este dispositivo, es recomendable realizar un chequeo manual de su funcionamiento, lo cual es muy sencillo

Más detalles