DISEÑO Y CONSTRUCCIÓN DE UN SISTEMA PARA LA DETECCIÓN DE SEÑALES ELECTROMIOGRÁFICAS TESIS. Presentada por: Irving Aaron Cifuentes González

Tamaño: px
Comenzar la demostración a partir de la página:

Download "DISEÑO Y CONSTRUCCIÓN DE UN SISTEMA PARA LA DETECCIÓN DE SEÑALES ELECTROMIOGRÁFICAS TESIS. Presentada por: Irving Aaron Cifuentes González"

Transcripción

1 DISEÑO Y CONSTRUCCIÓN DE UN SISTEMA PARA LA DETECCIÓN DE SEÑALES ELECTROMIOGRÁFICAS TESIS Presentada por: Irving Aaron Cifuentes González En opción al título de: Licenciado en Ingeniería en Computación Asesor: Dr. Francisco José Heredia López Mérida, Yucatán, Septiembre 2010

2 RESUMEN Este trabajo presenta el diseño y construcción de un sistema para la adquisición de señales electromiográficas provenientes de los músculos bíceps y tríceps, así como la metodología seguida para obtener los resultados obtenidos. La finalidad del trabajo consiste en plantear la primera etapa para la construcción de un sistema de prótesis mioeléctrica que pueda reconocer los potenciales de acción generados por los músculos y mediante un algoritmo de aprendizaje como el de las redes neuronales, sea capaz de reconocer los potenciales de disparo de Electromiografía y catalogarlos como alguno de los movimiento del brazo. I

3 DEDICATORIA Esta Tesis se la dedico a mis padres: Ana Gabriela González Salazar José Guadalupe Cifuentes Kú II

4 AGRADECIMIENTOS Primeramente agradecer a Dios por estar conmigo en cada momento y por haber dado una maravillosa familia, por darme salud, bienestar y consuelo en tiempos difíciles. Seguidamente agradezco a mi familia por estar a mi lado y apoyarme siempre, por ser mi soporte y motivación. A mi Madre por todos los sacrificios hechos para protegerme y asegurarse que tenga educación, por cuidarme y darme todos los valores que tengo; A mi Padre por todos los esfuerzos hechos para lograr que no nos falte nunca lo indispensable y por todos sus sabios consejos. Gracias por todo, ni con una vida completa podría pagarles por todo lo que hicieron y hacen por mí, Muchas gracias, saben que los quiero mucho y que siempre están en mi corazón. A mis hermanitos, abuelas, abuelos y tías por todo su cariño y su apoyo incondicional. A mi asesor F.J.H.L. y profesores por compartir parte de sus conocimientos y experiencias, así como también a mis amigos y en especial a mi mejor amiga L.P.D.C por apoyarme y ayudarme incondicionalmente cuando lo necesitaba. Aaron III

5 TABLA DE CONTENIDO PAG. 1. INTRODUCCION MARCO TEORICO ELECTRODOS ELECTROMIOGRAFÍA ELECTROMIOGRAFÍA INVASIVA ELECTRODOS DE AGUJA ELECTROMIOGRAFÍA SUPERFICIAL ELECTRODOS SUPERFICIALES LOCALIZACIÓN DE LOS ELECTRODOS, FORMA, TAMAÑO, DISTANCIA INTERELECTRODO Y MATERIAL FUNDAMENTOS ELECTRÓNICOS AMPLIFICADOR OPERACIONAL LA CONFIGURACIÓN INVERSORA CONFIGURACIÓN NO INVERSORA EL SEGUIDOR DE VOLTAJE AMPLIFICADOR DIFERENCIAL RECTIFICADOR DE MEDIA ONDA DE PRECISIÓN CIRCUITO COMPARADOR CIRCUITO INTEGRADOR EL ELECTROMIÓGRAFO ETAPA DE PRE AMPLIFICACIÓN ETAPA DE FILTRADO...25 IV

6 2.4.3 CONVERSIÓN ANÁLOGA DIGITAL PLANTEAMIENTO DEL PROBLEMA OBJETIVO DE LA TESIS METODOLOGIA DISEÑO Y CONSTRUCCIÓN DEL PROTOTIPO PARA LA ADQUISICIÓN DE SEÑALES ELECTROMIOGRÁFICAS CON FUENTE BIPOLAR ELECTRODOS ETAPA DE PRE AMPLIFICACIÓN ETAPA DE FILTRADO ETAPA DE AMPLIFICACIÓN FINAL ETAPA DE RECTIFICACIÓN DE MEDIA ONDA ETAPA DE PULSOS CUADRADOS CONSTRUCCIÓN DEL CIRCUITO IMPRESO CAPTURA DE REGISTROS DE EMG RESULTADOS DISEÑO Y CONSTRUCCIÓN DEL PROTOTIPO DE ADQUISICIÓN DE EMG DE UN SOLO CANAL LVTTL ELECTRODOS CONSTRUCCIÓN MONTAJE Y UBICACIÓN GANANCIAS ETAPA DE PRE AMPLIFICACIÓN...60 V

7 5.6.3 ETAPA DE FILTRADO ETAPA DE AMPLIFICACIÓN FINAL ETAPA DE RECTIFICACIÓN DE MEDIA ONDA PULSOS DIGITALES CONVERSIÓN ANALÓGICA DIGITAL PROGRAMA EN ENSAMBLADOR PROBANDO EL CONVERTIDOR ANALÓGICO DIGITAL DEL PIC RESULTADOS DISEÑO Y CONSTRUCCIÓN DEL PROTOTIPO DE ADQUISICIÓN DE EMG DE DOS CANALES RESULTADOS DISCUSION DE LOS RESULTADOS CONCLUSIONES TRABAJO FUTURO BIBLIOGRAFIA APENDICE I.100 VI

8 LISTA DE TABLAS PAG. TABLA 1. Fuentes de señales bioelestricas sensadas por electrodos 5 TABLA 2. Tabla comparativa del desempeño de varios bioelectrodos 12 TABLA 3. Tabla comparativa de los amplificadores de instrumentacion usados en el prototipo 53 TABLA 4. Tabla comparativa de los amplificadores de operacionales usados en el prototipo...54 TABLA 5. Principales caracteristicas de los amplificadores de auto zero usados en el prototipo...54 TABLA 6. Valores de resistencias y capacitores del INA TABLA 7. Principales caracteristicas del PIC TABLA 8. Carcteristicas del reloj para el ADC..77 TABLA 9. Precios de algunos componentes usados en el prototipo.96 VII

9 1. INTRODUCCION La ingeniería biomédica es una vertiente de las ciencias exactas que incluye el estudio, desarrollo e innovación de tecnologías para fines médicos, de terapia o rehabilitación así como técnicas de administración de recursos hospitalarios. Es dentro de esta disciplina que se encuentra inmerso el desarrollo de interfaces mioeléctricas; una interfaz mioeléctrica es un dispositivo electrónico que hace uso de señales biológicas producidas por los músculos del cuerpo, las cuales son procesadas por circuitos electrónicos y traducidas para recrear la acción de algún miembro funcional. Hoy en día el desarrollo de estos dispositivos va en ascenso, y su uso ya no solo se centra en el desarrollo de aplicaciones terapéuticas y de rehabilitación, tal es el caso de las prótesis mioeléctricas, las cuales se utilizan para suplir la perdida de algún miembro, principalmente de brazos, manos o piernas; estas nuevas tendencias están orillando el desarrollo de interfaces mioeléctricas para el uso diario, ya sea para facilitar la vida diaria o también para usos de recreación y entretenimiento; por ejemplo el uso de dispositivos mioeléctricos en videojuegos o para en un futuro no muy lejano reemplazar dispositivos de entrada salida de una PC como el mouse o el teclado. Debido a esto surge la idea de desarrollar una interfaz robusta capaz de monitorear las acciones producidas por un grupo muscular para posteriormente tener una aplicación final en el campo de las prótesis inteligentes. Este trabajo presenta el diseño y construcción de una interfaz que procesa las señales mioeléctricas para diferentes grupos musculares, el cual puede ser usado para construir prótesis autoajustables, interfaces para la ejecución de tareas sin contacto directo por parte del operador o sistemas de simulación y animación en 3D. 1

10 2. MARCO TEORICO La electricidad se encuentra presente de muchas formas en la naturaleza, de tal forma que el cuerpo humano no es la excepción, en él podemos encontrar pequeños impulsos eléctricos mediante los cuales se llevan a cabo funciones importantes del organismo: latir del corazón, respirar, pensar, el fenómeno de la vista etc. Uno de los primeros en contribuir en el campo de la actividad eléctrica en el cuerpo fue Luigi Galvani[18], quien en 1786 descubrió la electricidad animal en una extremidad de rana haciendo pasar corriente eléctrica en los nervios de la extremidad y se percató que se producía un movimiento como respuesta al estimulo eléctrico. Las funciones realizadas por el cuerpo humano son llevadas a cabo a través de impulsos eléctricos, estos impulsos son el resultado de la acción electroquímica de ciertos tipos de células, las cuales generan la diferencia de potencial mediante partículas ionizadas tales como iones de potasio, calcio, así como la despolarización de sus membranas celulares [2]. Muchos de estos intercambios de potenciales tienen lugar en el cerebro, el cual envía la orden en forma de impulsos electicos, los cuales son transportados a otra parte del cuerpo a través de los nervios [2]. El sistema nervioso se divide en sistema nervioso central y sistema nervioso periférico; el sistema nervioso central está formado por el cerebro y la espina dorsal, mientras que el periférico lo conforman los nervios periféricos y sus terminaciones motoras [4]. La unidad básica del sistema nervioso es la neurona; la neurona es una célula especializada en recibir y transmitir impulsos eléctricos denominados potenciales de acción (Figura 1), estas descargas eléctricas viajan atreves de la membrana celular y es el principal medio de comunicación entre tejidos y células dentro del cuerpo humano [2]. 2

11 La comunicación entre neuronas es realizada a través de extensiones celulares llamadas dendritas, la unión celular entre dendritas es conocida como sinapsis [4]. Existe un tipo de neuronas especializadas que conforman el sistema motor humano, estas neuronas reciben el nombre de motoneuronas; las motoneuronas son las encargadas de mandar y recibir impulsos eléctricos desde la espina dorsal hasta las fibras musculares [2]. El sistema músculoesquelético está formado principalmente por motoneuronas, fibras musculares, músculos y el esqueleto, juntos proveen soporte al cuerpo y la capacidad de realizar movimientos [4]. En el músculo podemos distinguir entre 2 unidades principalmente, la unidad anatómica y la unidad funcional; la primera es la llamada fibra muscular, mientras que la segunda recibe el nombre de unidad motora. La unidad motora (UM) (Figura 2) [18] es un grupo de fibras musculares inervado por una sola neurona motora. Realizar un simple movimiento requiere la intervención de muchas neuronas tanto sensoriales como motoras, que trabajando en conjunto logran ejecutar la acción indicada; el funcionamiento es el siguiente: se genera la orden en el cerebro en forma de un impulso eléctrico; este impulso viaja a través de la espina dorsal y llega hasta las motoneuronas responsables de inervar el músculo que realizará la acción estimulando las fibras musculares, produciendo así la expansión o inhibición del músculo. Cabe mencionar que para realizar un movimiento complejo, digamos la extensión o flexión del brazo, intervienen muchas motoneuronas, y no puede lograrse solo estimulando un solo músculo, el cual está ligado a más de una UM (Figura 3). 3

12 4

13 2.1 Electrodos La manera de obtener información acerca de nuestro entorno y transferirla a algún aparato electrónico se lleva a cabo mediante un transductor, un transductor es un dispositivo capaz de transformar un tipo de energía de entrada a otro tipo de energía de salida. En el campo de la bioelectricidad los transductores utilizados son llamados electrodos; los electrodos hacen una transferencia iónica del tejido vivo del cuerpo hacia un dispositivo electrónico, el cual se encarga de procesarla para posteriormente obtener información útil de la medición [20]; entre las señales biológicas más estudiadas y registradas se en encuentran las Electrocardiográficas (ECG), Electroencefalográficas (EEG), electromiográficas (EMG), por citar algunas. (Tabla 1). Para el registros de estas señales se suelen utilizar principalmente dos tipos de electrodos, los electrodos de superficie y los electrodos invasivos; los electrodos de superficie son colocados en la superficie de la piel y son capaces de tomar registros poblacionales de la actividad bioeléctrica [17]; mientras que los electrodos invasivos son insertados en el tejido para tomar directamente la diferencia de potencial existente entre la membrana celular y la piel [17]. 5

14 2.2 Electromiografía Una de las principales técnicas para adquirir información del cuerpo es la electromiografía. La electromiografía (EMG) es el estudio de los potenciales eléctrico generados por los musculas durante el movimiento. H. Piper fue el primer investigador en estudiar EMG en 1912 Alemania, construyó un prototipo basado en un galvanómetro; en 1924 Gasser y Erlanger hicieron un estudio similar, pero ahora utilizando un osciloscopio; cuatro años después Proebster observo las señales producidas por la denervación de los músculos, abriendo así el campo de la EMG clínica [18]. A partir de 1980 con la introducción de las computadoras se pudieron realizar grandes estudios y descomposición de las señales EMG en pocos segundos, unos de los pioneros en ello fueron LeFever y De Luca. [18]. Como la electromiografía tiene por objeto el estudio de la actividad muscular, no se puede pasar por alto la actividad de las unidades motoras [2]; en una contracción muscular voluntaria la fuerza es modulada por un serie de cambios en la frecuencia de la actividad de las UMs, es decir, que la frecuencia de disparo de los potenciales de las UMs depende de la fuerza aplicada y la velocidad de contracción muscular. El espectro de frecuencia de las unidades motoras durante una contracción muscular voluntaria o (MVC) puede apreciarse en la Figura 4 mientras que en la Figura 5 se aprecia la relación entre la contracción muscular voluntaria y la señal electromiográfica de superficie [8]. En base a estas figuras, se puede observar que la mayor cantidad de actividad electromiográficas está presente alrededor de los 50Hz [18]. En una contracción del musculo esquelético, los rangos de potenciales van desde los 50µV hasta los 5mV [17]. Existen dos formas principales de registrar las señales electromiográficas; la electromiografía de superficie o no invasiva y la electromiografía invasiva. 6

15 2.2.1 Electromiografía Invasiva Entre la electromiografía destacan dos técnicas principales para la adquisición de los potenciales generados por las unidades motoras, estas técnicas son la electromiografía invasiva y la electromiografía de superficie [17]. Los músculos del cuerpo están conformados por varias moto neuronas, las cuales inervan una zona especifica de las fibras musculares; la electromiografía invasiva se encarga de obtener el registro del potencial generado por una unidad motora en particular; es una técnica muy útil para diversas especialidades, sobre todo en rehabilitación, medicina interna o traumatología, sirve para localizar el área lesionada, concretando si es un problema de una mano, brazo o pierna, o si es algo más 7

16 difuso, y definiendo si la lesión es de un músculo, nervio, tronco o raíz nerviosa, o de más de uno [18] Electrodos de aguja Para medir los potenciales generados por las unidades motoras, la electromiografía invasiva hace uso de electrodos de aguja; un electrodo de aguja consiste en una delgada aguja de metal la cual es insertada en el musculo directamente. La Figura (6) muestra distintos tipos de electrodos de aguja [18]. La amplitud de la señal registrada por los electrodos de aguja depende del área de registro que ocupan, así como también de la distancia del electrodo a la fuente de la señal (UM); siendo la amplitud más grande mientras el electrodo está más cerca de la unidad motora; la amplitud disminuye mientras más lejano este el electrodo de la fuente [18]. Debido a que la inserción de los electrodos de aguja es bastante dolorosa y además requiere la supervisión médica, la electromiografía invasiva se limita a usos clínicos y de carácter medico, principalmente es usada para diagnosticar 8

17 enfermedades motoras, esta característica hace difícil su uso en investigaciones para el desarrollo de prótesis ya que muchas personas consideran muy molesto el procedimiento de inserción Electromiografía superficial La electromiografía de superficie o SEMG [18] es una técnica que se basa en el uso de electrodos superficiales; estos electrodos son colocados directamente sobre la piel del musculo del cual se quiere obtener información. La SEMG tiene la peculiaridad de que los registros obtenidos mediante ella muestran actividad poblacional de las unidades motoras, esto es debido a que los electrodos, al estar en la superficie del musculo, no son capaces de captar la señal de una sola unidad motora, sino que por el contrario, captan la información de varias UMs [17]. Es por esta razón que esta técnica no es muy utilizada para diagnósticos médicos muy precisos. Por otro lado el uso de los electrodos superficiales es mucho más adecuado para el estudio del comportamiento promedio de la actividad eléctrica de un musculo o grupo de músculos, lo cual es muy utilizado para detectar fatiga muscular y para monitoreo del rendimiento de deportistas; la SEMG es la técnica que se utiliza principalmente para el desarrollo de prótesis mioeléctricas, donde el uso de los electrodos de aguja no sería muy cómodo para el paciente. Gracias a las aportaciones de De Luca [18], se abrió el campo de la descomposición y detección de señales de EMG superficiales, ya que fue el pionero en sugerir el estudio de la electromiografía en potenciales de acción unitarios. Figura (7). 9

18 Electrodos superficiales Los electrodos superficiales son colocados sobre la piel, estos electrodos son principalmente superficies de metal, sin embargo, debido al estar en contacto directo con la piel hay que tomar ciertas consideraciones[18]: la piel es un tejido conductivo cuyo material intracelular y extracelular está compuesto de soluciones electrolíticas, en la cual la corriente es transportada por iones; mientras que el metal es un material altamente conductivo, en el cual la corriente es transportada por electrones, en consecuencia, la interfaz electrodo piel es en sí muy ruidosa[18]. Existen varios tipos de electrodos de superficie, estos se dividen principal mente en dos grandes grupos: electrodos secos y electrodos húmedos [21]. Los electrodos húmedos son aquellos en los que entre la placa de metal y la piel se encuentra una substancia electrolítica o gel conductor [17], esto se hace con el fin de minimizar el ruido intrínseco que se genera entre el contacto de la piel y el metal, este gel conductor mejora la conductividad y el flujo de la corriente. 10

19 Los materiales de los que se constituyen la mayoría de los electrodos de superficie son muy variables, entre ellos están la plata, el oro, acero inoxidable, platino entre otros. En cuanto a los electrodos secos, A. Searle y L. Kirkup [21] realizaron un trabajo de comparación entre electrodos secos construidos, tomando como antecedentes los trabajos de varios autores que ya habían desarrollado electrodos secos (Tabla 2). El experimento consistió en ensamblar tres arreglos de electrodos secos de metales diferentes (aluminio, acero inoxidable y titanio) y fueron comparados contra los electrodos húmedos de Ag/AgCl Figura 9 [21]. Las mediciones realizadas fueron sobre la impedancia de contacto; los resultados son mostrados en la Figura 10 [21], las graficas muestran la impedancia con respecto al tiempo; es importante mencionar que se desea que la impedancia de contacto entre el electrodo y la piel se mantenga constante en el tiempo o lo mas constante posible; en los experimentos realizados se observa que la interfaz electrolítica de Ag/clAg es bastante constante, sin embargo, el propósito de este experimento era hacer una comparación entre los electrodos de Ag/AgCl y los electrodos secos de metales altamente conductores, encontrando que los electrodos de titanio y acero inoxidable presentan una respuesta de impedancia de contacto bastante parecida y muy aceptable cuando se les compara con los electrodos de Ag/AgCl. 11

20 12

21 Una de las condiciones deseables en un electrodo, es que no sea polarizado, esto significa que el potencial en el electrodo no debe de variar considerablemente cada vez que la corriente pase a través de él; el electrodo de plata cloruro de plata (Ag/AgCl) ha demostrado tener los estándares adecuados para lograr esta característica; además, la interfaz pielplata o pielag/agcl tiene la mayor impedancia resistiva en el dominio de la frecuencia en EMG [21] Localización de los electrodos, forma, tamaño, distancia interelectrodo y material. Uno de los puntos más discutidos en la EMG de superficie es la localización de los electrodos. Debido a esto, se originó una iniciativa europea para tratar de estandarizar estos factores; localización, tamaño y forma de los electrodos; es así que en 1996 surge el SENIAM (Surface Electromiography for Noninvasive Assessment of Muscles) para tratar de dar ciertas recomendaciones en cuanto a estas variables [5]. En muchas referencias bibliográficas, en las que su tema es la SEMG se tienen varios registros de diferentes configuraciones en cuanto al tamaño y posición de los electrodos la Figura 11 a) muestra los valores del diámetro de los electrodos tomados por varias publicaciones y trabajos europeos, en ella se 13

22 puede observar que las medidas preferidas para diámetro del electrodo son de 10mm [18]. La distancia interelectrodo es definida como la distancia centro a centro del área conductiva de los electrodos [18], la figura [11 b)] muestra información recabada en referencias sobre la distancia interelectrodo usada en ellas. La forma del electrodo es definida como el área conductora que entra en contacto con la piel; la mayoría de las referencias bibliográficas coincide en la forma circular como la más utilizada [18]. El material más utilizado para los electrodos es el de la combinación platacloruro de plata (Ag/AgCl); estos electrodos son fabricados normalmente por electrolisis. Se toman dos discos de plata y son sumergidos en una solución salina. El polo positivo de una fuente de DC es conectada al disco a ser clorado de plata y el polo negativo es conectado al otro disco. Una corriente a una taza de 1mA/cm 2 es pasada a través del electrodo por algunos minutos. Una capa de cloruro de plata es entonces depositada en la superficie del ánodo [18]. 14

23 El SENIAM [5] proporciona una serie de pasos antes de realiza las mediciones de SEMG: (1) selección de los electrodos para SEMG. (2) Preparación de la piel, esto implica ya sea la amplificación de algún gel conductor o limpiar con alcohol la zona donde se van a colocar los electrodos. (3) posicionar la paciente en la postura inicial, esta postura puede variar dependiendo del estudio a realizar. (4) Determinar la localización de los electrodos. (5) Fijar los electrodos. (6) finalmente testear las conexiones. Estas medidas por tratar de poner un estándar en los métodos, materiales, posiciones y tamaño de los electrodos, son bien merecidos, ya que una medición de SEMG es bastante variable y es muy difícil repetir experimentos y obtener los [mismos valores [5]; uno de los factores que interviene es que la amplitud de la señal de SEMG aumenta en relación al desplazamiento longitudinal del electrodo sobre el músculo. la Figura [12] muestra variaciones en amplitud de la señal de SEMG en diferentes posiciones. 15

24 2.3 Fundamentos electrónicos Para la construcción de un electromiógrafo, el cual es un dispositivo para adquisición de las señales provenientes de los músculos, es necesario tener en cuenta varios factores, entre ellos están la etapa de pre amplificación de la señal, filtrado de la señal y conversión analógica digital. Antes de hablar de las etapas del electromiógrafo, es conveniente definir algunos conceptos de electrónica Amplificador operacional. El amplificador operacional es un circuito integrado compuesto por una gran cantidad de transistores [1]. Este circuito es muy popular debido a su gran versatilidad, pueden ser usados en múltiples configuración y son capaces de hacer operaciones aritméticas tales como la suma, resta, integración y derivación. El diagrama de un amplificador es presentado en la Figura 13; se aprecian las terminales con las que cuenta; la terminal Vout es la terminal de salida, la terminal V es la entrada no inversora, la terminal V es la entrada no inversora, mientras que las terminales Vs y Vs son las terminales de alimentación, la Vs es negativa y la Vs es la alimentación positiva. Los amplificadores operacionales [1] tiene la característica de amplificar la diferencia de potencial que aparezca en sus terminales V y V dándole una ganancia A, idealmente infinita; Vout = A(V V). Se considera el modelo de amplificador operacional ideal como aquel circuito que cuenta con las siguientes características [1]: impedancia de entrada infinita, es decir, que la corriente neta que entra al amplificador por las terminales no inversora 16

25 e inversora es cero; impedancia de salida cero; ganancia de modo común cero, esto se traduce al hecho de que al aplicar voltajes iguales a las entradas, la salida del amplificador deberá ser cero [1]; ganancia de lazo abierto A infinita, en otras palabras, se puede amplificar el factor (V V) a valores infinitos; y el ancho de banda es infinito, esto es que los amplificadores operacionales ideales pueden amplificar señales en los rangos de frecuencias de 0 a infinito con una ganancia infinita [3]. Sin embargo, estas características no pueden ser alcanzadas del todo en la realidad, un ejemplo muy simple es el hecho que no podemos dar ganancias infinitas, ya que el amplificador operacional real solo puede dar un ganancia dependiendo del rango de sus fuentes de alimentación, dar una ganancia infinita equivaldría a tener fuentes de alimentación infinitas, lo cual no es posible en la práctica; mientras que por el lado de las impedancias de entrada infinitas, tampoco es posible, pero en el mercado existen amplificadores que tienen impedancias de entrada de varios cientos de mega ohms, con lo que se pueden hacer aproximaciones al modelo del amplificador operacional ideal. Los amplificadores operaciones cuentan con varios tipos de configuraciones, entre las más utilizadas se encuentran: La configuración inversora Recibe su nombre debido a la operación que realiza, su diagrama es mostrado en la Figura 14 [1]; esta configuración da como salida el valor amplificado del voltaje de entrada Vin en base a la siguiente fórmula [1]: 17

26 Configuración no inversora. Esta configuración es mostrada en la Figura 15. Recibe el nombre debido a que la salida Vout tiene como resultado el valor amplificado del voltaje de entrada (Vin) en base a la siguiente fórmula [1]: 18

27 El seguidor de voltaje. La configuración seguidor de voltaje es bastante simple, el valor del voltaje de salida es igual al del voltaje de entrada, se dice que la salida sigue a la entrada. Su configuración es mostrada en la figura 16 [1] Amplificador diferencial Un amplificador diferencial es aquel que amplifica la diferencia de los potenciales en sus entradas. 19

28 Rectificador de media onda de precisión Un circuito rectificador es aquel que convierte los componentes negativos de una señal a positivos; existen dos tipos de rectificación: rectificación de media onda y de onda completa, el de media onda conserva los componentes positivos de la señal mientras que el de onda completa convierte los componentes negativos de la señal de entrada a positivos dejando los componentes positivos intactos, los diferentes tipos de rectificación se muestran en la Figura 18 [2]. El rectificador de media onda de precisión o súper diodo [1], recibe su nombre porque se comporta como un diodo pero no presenta la pérdida de 0.7 volts de voltaje típica de los diodos [1], de esta manera es capaz de rectificar la señal que se le aplique con gran exactitud. La Figura 19 muestra la configuración de este circuito. 20

29 Circuito comparador Los circuitos comparadores son muy usados para detectar cuando una señal sobrepasa un nivel de voltaje [1]; comparan el valor del voltaje de una señal de entrada (Vin) contra un valor de un voltaje de referencia (Vref), su funcionamiento es muy simple, únicamente cambia la salda de los valores de saturación Vsat a Vsat a su salida cuando la señal Vin sobre pasa el límite impuesto por el voltaje de referencia Vref (comparador no inversor) Figura 20. En otras palabras, el comparador solo tendrá dos salidas: Vout = Vsat cuando Vin > Vref Vout = Vsat cuando Vin < Vref 21

30 Circuito Integrador El circuito integrador es una configuración más del amplificador operacional Figura 21 [1]; este circuito tiene como salida un voltaje proporcional al área debajo de la curva del voltaje de entrada; es decir, la integral del voltaje de entrada pero invertido en fase, esto se debe a que la configuración de amplificador es la configuración inversora. 22

31 2.4 El electromiógrafo El electromiógrafo es un dispositivo electrónico usado para registrar la actividad eléctrica de los músculos, este dispositivo normalmente cuenta con una pantalla en la cual se puede visualizar los potenciales de acción de las unidades motoras, también cuenta con varios juegos de electrodos y una pequeña interfaz para controlar las mediciones [17]. Para registrar EMG se utiliza frecuentemente electrodos de aguja, los cuales se insertan directamente en el músculo; también es posible usar electrodos de superficie. Para hacer mediciones de SEMG se requieren tres electrodos superficiales dos electrodos para captar la diferencia de potencial en el músculo y un electrodo de tierra, el cual sirve como punto de referencia para las mediciones. Los electrodos captan los potenciales de acción de acción de las unidades motoras, el cual es amplificado para poder ser procesado y mostrado en la pantalla [17]. 23

32 2.4.1 Etapa de pre amplificación La amplitud de las señales de EMG depende de varios factores; la posición, el tipo y material de los electrodos usados; una típica señal de EMG tiene rangos de amplitud que van desde 0.1 a 0.5 mv. Esta señal puede contener componentes de frecuencia que se extienden hasta los 10kHz [17]. El preamplificador usado para EMG es generalmente del tipo diferencial y su impedancia de entrada debe ser de Ω en paralelo con un capacitor de 2 10pF; también es recomendable ubicar el preamplificador bastante cerca de los electrodos y el sujeto, de esta forma se evitan capacitancias parasitas y problemas producidos por el movimiento de los artefactos y del cable. Otra de las características importantes es el rechazo de modo común o CMRR por sus siglas en ingles (Common Mode Rejection Ratio) [1], este término se define para los amplificadores diferenciales como: El CMRR se mide en decibeles y es la razón entre la ganancia de modo diferencia (V2 V1) y la ganancia en modo común (idealmente cero). Para las mediciones de EMG se requiere un rechazo de modo común mínimo de 90db. Uno de los arreglos con amplificadores operaciones más utilizados como preamplificador es el amplificador de instrumentación [1]; este circuito consta de tres amplificadores y tiene la función de amplificar la diferencia de los voltajes presentes en sus entradas (V1 y V2). El amplificador de instrumentación tiene la característica de tener impedancias de entrada bastante elevadas y un alta CMRR. Son utilizados frecuentemente para amplificar señales muy pequeñas, por ejemplo las señales del cuerpo humano Figura

33 2.4.2 Etapa de filtrado La señal amplificada proveniente de la etapa de pre amplificación contiene una mezcla de señales biológicas, por ejemplo, se encuentran inmersas las señales de ECG, respiración y dependiendo del lugar se podrían encontrar rastros de EEG. Es por esta razón que para tener registros claros de EMG es necesario depurar o filtrar la información; esto se logra usando amplificadores operaciones con los cuales se construyen filtros analógicos para obtener registros únicamente de EMG, estas señales se presentan en el rango de frecuencia de 10 a 500Hz [18]. Sin embargo las señales de ruido provenientes por el movimiento de los cables y de los artefactos se encuentran entre 0 y 15, es por eso que en muchos trabajos publicados se prefiere tener un filtro de 15 a 500Hz o de 20 a 500Hz, dependiendo de lo que se desee. Otra técnica para evitar el ruido de la toma de corriente y del ambiente es anexar un filtro rechaza bandas de muesca de 50 o 60Hz, sin embargo esto tiene algunas complicaciones, en el caso particular de la EMG el mayor número de componentes de estos potenciales se encuentran alrededor de 50 y 60Hz [17], por lo que si se aplicará esta técnica de reducción de ruido se perdería información muy valiosa. Para hacer el filtrado de la señal, se cuenta con múltiples configuraciones, también se tiene que tomar en cuenta el orden del filtro; el orden del filtro es un factor importante y ayuda en gran medida a la reducción del ruido. La Figura 23 [18] muestra un potencial típico de EMG al cual se le ha aplicado filtrados de primero y segundo orden; es posible observar como el filtrado 25

34 ayuda a procesar mejor las señales reduciendo el ruido y definiendo más los potenciales de acción Conversión análoga digital La conversión analógica digital (A/D), es el proceso mediante el cual se transforman señales continuas o del mundo real a niveles de voltaje que representan un código binario [17]. Una señal continua es aquella que en teoría puede tomar cualquier valor en amplitud y no se encuentra limitada a un número de puntos finitos. Un convertidor A/D acepta señales en un rango especifico de voltaje, por ejemplo ±5V, el cual es subdivido en un numero de niveles discretos, este número esta dado por la fórmula 2 n 1, donde n es el numero de bits del convertidor A/D. El proceso de digitalización consta de varios pasos: muestreo, retención, cuantificación y codificación. El muestreo, es la etapa en la que se toman muestras de la señal continua; la velocidad de muestreo depende de un reloj interno y recibe el nombre de frecuencia de muestreo. La etapa de retención se encarga de mantener el valor de la muestra el tiempo suficiente para que pueda ser procesado. El proceso de cuantificación consiste en medir el valor del voltaje 26

35 recibido y asignarle un único valor de salida. Finalmente la etapa de codificación consiste en traducir el valor cuantificado a un valor binario. Una de las principales desventajas del proceso de conversión A/D es la perdida de información debido a truncamientos y redondeos a la hora de codificación y la aparición del efecto denominado aliasing ; el efecto aliasing consiste en obtener una señal diferente a la muestreada cuando se intenta reconstruir la señal analógica original. Para evitar este efecto, es conveniente hacer uso del teorema de muestréo de Nyquist [18]; este teorema dice que una señal puede ser completamente reconstruida sin pérdida de información si se muestréa a una frecuencia de cuando menos del doble del armónico más grande presente en la señal analógica. En el caso de los músculos, la frecuencia con el armónico más grande para electromiografía de superficie está en el rango de Hz [18]. 3. PLANTEAMIENTO DEL PROBLEMA Las personas que presentan problemas motores, ya sea atrofia muscular, amputaciones o ausencia de alguna extremidad desde el nacimiento no pueden realizar ciertas tareas de la vida cotidiana. Sin embargo, gracias a los avances de la medicina y la electrónica, se desarrolló el campo de las prótesis mioeléctricas, estos sistemas se encargan de registrar y traducir los impulsos eléctricos del músculo en los movimientos naturales del brazo. Para ayudar a las personas con problemas motores surge el campo de las prótesis mioeléctricas cómodas y que no le presenten dificultades o inconvenientes al paciente Este trabajo se diseñó tomando en cuenta las necesidades de los pacientes y la versatilidad del dispositivo final, ya que al ser empleado por ejemplo en una prótesis, esta debe de ser removida constantemente y esto conlleva al uso de materiales que soporten estos usos. 27

36 4. OBJETIVO DE LA TESIS La tesis se centra en la adquisición y tratamiento de las señales mioeléctricas, específicamente de los músculos del brazo para posteriormente obtener un pulso LVTTL (Low Voltage Transistor Transistor Logic) [1] correspondiente a las acciones de los potenciales de las fibras musculares. En un futuro, con esta salida LVTTL se pretende hacer una etapa de paralelización de tal forma que a cada movimiento le corresponda un número binario de 10 bits único. El sistema final actual funciona con 3.3 volts y puede adquirir las señales de los músculos bíceps y tríceps [4]; este sistema produce pulsos de niveles LVTTL conforme a la excitación muscular detectada, para en un futuro por ejemplo utilizar estas salidas y conectarlas a una red neuronal artificial para el reconocimiento de patrones de movimiento. 5. METODOLOGIA El desarrollo del sistema se dividió en varias etapas antes de tener el prototipo finalizado. El primer desarrollo fué un sistema de adquisición con ciertas limitaciones; este prototipo sirvió de referencia para los desarrollos posteriores, llamaremos a este prototipo Prototipo de Adquisición de EMG con Fuente Bipolar. El desarrollo siguiente al que denominaremos Prototipo de Adquisición de EMG de un Solo Canal LVTTL presenta grandes mejoras con respecto al anterior, tanto en diseño como en eficiencia, sin embargo solo se puede tomar las señales de EMG de un solo músculo; finalmente se desarrolló el Prototipo de Adquisición de EMG de dos Canales, el cual es capaz de tomar al mismo tiempo las señales de EMG de dos músculos diferentes. El sistema de adquisición de señales electromiográficas desarrollado consta de varias etapas. La Figura 24 muestra el diagrama a bloques del sistema para la adquisición de EMG de un solo canal. 28

37 Figura 24 Diagrama a bloques del sistema de adquisición de E.MG 5.1 Diseño y Construcción del Prototipo para la Adquisición de Señales Electromiográficas con Fuente Bipolar El primer prototipo construido siguió las etapas mostradas en la Figura 24, por lo cual su desarrollo consta de las etapas de pre amplificación, filtrado, amplificación final, rectificación y con la única excepción de que en vez de la etapa de conversión A/D este prototipo hace uso de un circuito comparador para convertir las señales de EMG a pulsos cuadrados. Debido a que la señal electromiográfica presenta amplitudes en el rango de 500µV [17], es necesario hacer una serie de amplificaciones en cascada. En este prototipo, la cadena de ganancias se muestra en la Figura 25; siendo la amplificación total del sistema de 2107; de esta forma la señal de EMG de 500µV tendrá un valor de Volts. Para lograr las amplificaciones, se usan amplificadores operacionales en configuración no inversora, esto se hace con el fin de no alterar la fase de la salida. 29

38 Figura 25. Diagrama del proceso de amplificación en cascada del sistema Un punto importante en la construcción de este prototipo es el uso de dos fuentes de alimentación (pilas de 9V), de ahí el nombre de con fuente bipolar ; esta característica tiene ciertas desventajas, y la más evidente es el uso de las dos pilas de 9V (Figura 26), esto se debe a las características de los circuitos integrados usados, ya que estos no fueron fabricados para trabajar a niveles de voltaje más bajos a 5V Electrodos Figura 26. Alimentación bipolar del circuito con dos pilas de 9V. Lo primero para ser considerado antes de la adquisición de las señales de EMG es el tipo de electrodos que se utilizarán; en este primer prototipo, los electrodos tienen que cumplir con ciertas características para poder realizar las diversas pruebas de una manera sencilla y practica; estas características son: Los electrodos deben poder ser montados y desmontados de una manera limpia y fácil evitando la supervisión médica. 30

39 Los electrodos deben ser capaces de captar la señal de EMG con un nivel de ruido adecuado. Tomando en cuenta estas características, se optó por trabajar con electrodos desechables de superficie de Ag/AgCl de la marca 3M Figura 27. Figura 27. Electrodos de superficie de Ag/AgCl utilizados. Esto electrodos son muy utilizados para ECG y son capaces de captar señales de EMG [17]; además no se requiere la supervisión médica en el momento de la aplicación sobre el musculo. Los electrodos fueron colocados para registrar la actividad muscular del musculo bíceps. Se usaron tres electrodos para la adquisición, el electrodo inversor, el no inversor y el de referencia; los electrodos inversor y no inversor se encuentran separados por una distancia inter electrodo de 2cm, mientras que el electrodos de referencia se colocó en la muñeca. La Figura 28 muestra la posición de los electrodos con respecto al brazo. 31

40 Figura 28. Electrodos de superficie de Ag/AgCl montados alrededor del musculo bíceps Etapa de Pre amplificación En la etapa de pre amplificación se usó un amplificador de instrumentación; este a su vez debe de cumplir con ciertas características para tener un buen rendimiento en la adquisición de señales biológicas, estas características son principalmente: Impedancia de entrada debe ser de Ω 2 10pF. CMRR 90dB Corriente de polarización de entrada (input bias current) 20nA. Tomando encuentra estas características, se eligió usar el amplificador de instrumentación INA129 de Texas Instruments (Figura 29), este amplificador tiene las siguientes características: Input bias current: 5nA max. CMRR: 120dB min. Fuente de alimentación de ±2,25V a ±18V. 32

41 Impedancia de entrada diferencial: Ω 2pF. Figura 29. Diagrama del amplificador de instrumentación INA129 de Texas Instruments. El INA129 es uno los amplificadores de instrumentación más usados por tener características muy buenas, como por ejemplo su alto CMRR (120dB), sin embargo este amplificador es del tipo de fuente bipolar, es decir, su funcionamiento está destinado a trabajar con dos fuentes de alimentación. La ganancia de la etapa de pre amplificación se divide en dos, la ganancia del amplificador de instrumentación y la ganancia de un amplificador operacional en configuración no inversora. A continuación se muestra el cálculo de la resistencia para fijar la ganancia del INA129, teniendo en cuenta que la ganancia deseada es de 10; se hace uso de la fórmula que proporciona el fabricante [8]: Adicionalmente se integró un circuito de retroalimentación o driver de pierna derecha propuesto por el fabricante [8] utilizado para registrar señales de electrocardiografía o ECG (Figura 30). En caso de EMG se modificó la posición de los electrodos de RA y LA colocándolos alrededor del musculo y el electrodo de RL como el electrodo de referencia el cual se coloca en la muñeca. Este circuito de retroalimentación sirve para evitar las corrientes de desbalance y para compensar problemas de ruido de modo común en la entrada diferencial del amplificador de instrumentación. 33

42 Las modificaciones hechas al circuito de pierna derecha de ECG se muestran en el diagrama esquemático de la Figura 31; nótese que existe una salida etiquetada como Malla, esta salida va conectada a la capa de blindaje con que debe de contar el cable conductor de los electrodos. La ventaja de contar con el blindaje de la malla es que se evitan las corrientes de fuga que pudieran aparecer entre los conductores de los electrodos. Resistencias de ganancias del INA129 R11 390k Malla Electrodo de Ref. R9 390k 1 9V U2A TL R13 10k 7 9V 1n U2B TL C13 9V C14 0.1u 9V Figura 31. Circuito de retroalimentación para disminuir las corrientes de desbalance y ruido en modo común (circuito de pierna derecha) con modificaciones para EMG. 34

43 8 4 De igual forma se usó un circuito integrador (Figura 32) para disminuir el voltaje de offset a la salida del amplificador de instrumentación para evitar que el dispositivo entre en saturación. Este circuito se diseñó tomando en cuenta la frecuencia más alta esperada, es decir, 500Hz, tomando 10 muestras. Los valores de R y C se obtuvieron de la siguiente manera [1]:, Salida del INA129 C20 0.1u R9 270K 9V U2A Ref del INA129 (pin5) 1 TL C13 9V 1n Figura 32. Diagrama esquemático del circuito integrador de la etapa de pre amplificación Finalmente la última amplificación de la etapa de pre amplificación es proporcionada por un amplificador operacional TL074 en configuración no inversora (Figura 33); a continuación se muestran los cálculos de las resistencias para obtener la ganancia [1] deseada de

44 V C4 1n U1A Salida del INA129 (pin6) Etapa de Filtrado TL074 9V R6 R5 10K 82k Figura33. Diagrama esquemático del circuito no inversor de la etapa de pre amplificación La Figura 34 muestra el diagrama esquemático de la etapa de pre amplificación, cuya salida está conectada directamente a la etapa de Filtrado. 9V C4 Electrodo de referencia ElectrodoV ElectrodoV 3 2 1n U1A 1 Etapa de Filtrado U6 TL074 R17 5.6k 9V 9V VIN VIN REF RG RG 7 4 V V INA129 VO 6 R5 10K 9V R6 82k R14 5.6k C20 0.1u R9 270K R11 390k Malla 9V R9 390k 1 9V U2A TL R13 10k 7 9V C13 1n U2B TL V U2A TL C13 1n 9V C14 0.1u 9V Figura 34. Diagrama esquemático de la etapa preamplificadora. 36

45 5.1.3 Etapa de Filtrado En la etapa de pre amplificación, la señal proveniente de los electrodos es obtenida de manera diferencial por el amplificador de instrumentación, el cual le da una ganancia de 10; posteriormente se aplica una ganancia de 9.2 por el amplificador operacional TL074. Desde este punto la señal proveniente de los electrodos ya está lista para ser filtrada. Para la etapa de filtrado se usaron amplificadores operaciones en configuración de filtros Sallen Key. Sin embargo existen 3 configuraciones bastante usadas de filtros Sallen Key, estas son la configuración Butterworth, Chebyshev y Bessel [2], cada uno de los cuales tiene ciertas características: Filtro de Butterworth, este tipo de filtro presenta una banda de paso suave y un corte agudo. También es el filtro que presenta la respuesta más plana mientras más se acerca a la frecuencia de corte, es por eso que recibe el nombre de máximamente plana. Filtro de Chebyshev, es filtro presenta la respuesta más aguda, pero también se generan algunas ondulaciones antes de llegar a la frecuencia de corte, estas ondulaciones se reducen conforme aumenta el orden del filtro. Filtro de Bessel, presenta una variación de fase constante. Figura 35. Grafica de magnitud vs frecuencia de los diferentes tipos de filtros a una frecuencia La Figura 35 muestra los diferentes de corte tipos de 10kHz. de filtros con sus respuestas en frecuencia características. La Figura 36 muestra la respuesta en magnitud de los 37

46 filtros, se puede observar cómo se reducen las ondulaciones del filtro Chebyshev y como se hace más plana la respuesta del filtro Butterworth. Figura 36. Curvas de respuesta de filtros Butterworth, Chebyshev y Bessel de segundo orden. Teniendo en cuenta las características que presentan los diferentes tipos de filtro, se eligió usar la configuración de Butterworth debido a que presenta una respuesta en magnitud muy plana y su pendiente desciende rápido al acercarse a la frecuencia de corte. En la Figura 37 se muestra la respuesta en magnitud de un filtro Butterworth variando su orden [3]. 38

47 Figura 37. Variación de la respuesta en magnitud del filtro Butterworth a distintos órdenes. Se generó un filtro activo pasa banda de 20 a 500Hz a partir de dos filtros activos de segundo orden Butterworth con la configuración clásica de SallenKey con la finalidad de obtener las señales de EMG, las cuales se encuentran en ese rango de frecuencias. El filtro pasa bandas se diseñó en forma de cascada, es decir, primero se presenta un filtro pasa altas dejando pasar frecuencias mayores a 20Hz y a la salida de éste se conecta un filtro pasa bajas para evitar el paso de frecuencias mayores de 500Hz. En la Figura 23 podemos observar que después del orden 1 las variaciones no son muy significativas, es por esa razón que se eligió construir el filtro activo de segundo orden. Para el diseño del filtro pasa altas con frecuencia de corte (f c ) a 20Hz de Sallen Key se usó la siguiente fórmula [3]: 39

48 4 11 Como se desea una configuración Butterworth de segundo orden, el filtro debe tener una ganancia de aproximadamente 1.58 [3], donde R2 es la resistencia a tierra y R1 es la que une la salida no inversora con la salida del amplificador. Tomando los siguientes valores de R, tenemos: El diseño del filtro pasa bajas se realizo como sigue: De igual manera que en el filtro pasa altas, el filtro pasa bajas (Figura 39) tiene configuración Butterworth por lo que los valores de la ganancia son similares al del filtro pasa altas [3]. La Figura 40 muestra el filtro completo. R5 82k R3 33k R15 9V 56k Etapa de Preamplif icación C U1D TL Filtro pasa bajas C7 0.1u 0.1u R2 82k 9V Figura 38. Diagrama esquemático del filtro pasa altas de 20Hz 40

49 C3 0.1u R10 33k R16 Filtro pasa altas 9V 56k U2C R4 3.3k R TL074 Etapa de amplif icación 3.3k C1 0.1u 9V Figura 39. Diagrama esquemático del filtro pasa bajas de 500Hz C3 0.1u R5 82k R3 33k R15 R10 33k R16 56k 56k 9V 9V U2C Etapa de Preamplif icación C U1D TL R4 3.3k R TL074 Etapa de amplif icación C7 0.1u 0.1u 3.3k R2 82k 9V C1 0.1u 9V Figura 40. Diagrama esquemático del filtro pasa bandas 20500Hz 41

50 Etapa de Amplificación Final Esta etapa tiene como finalidad estabilizar la señal filtrada y amplificarla por un factor de 9.2 Figura 41. El cálculo de los valores de las resistencias se muestra a continuación [1]:,, 9V C11 1n U1B Filtro pasa bandas Etapa rectif icadora MCP609 9V R6 R7 82k 10k Figura 41 Diagrama esquemático del amplificador final en configuración no inversora Etapa de rectificación de media onda Un solo potencial de acción (Figura 42) está compuesto por una señal con un componente positivo y uno negativo, es por esta razón que se eligió el método de rectificación de media onda, así evitamos tomar los componentes negativos y solo tomamos los positivos por cada potencial de acción [18]. 42

51 8 4 Figura 42 Diferentes potenciales provenientes de las UM. El circuito rectificador usado es un rectificador de media onda de presición, tambien conocido como super diodo[1] (Figura 43). 9V U4B Etapa de pulsos cuadrados D1 7 TL salida de la etapa de amplif icación D1N4148 R19 10k 9v Figura 43. Diagrama esquemático del rectificador de media onda de precisión, 43

52 5.1.6 Etapa de pulsos cuadrados. Con la señal rectificada, se procede a hacer uso de un circuito comparador no inversor; este circuito tiene la función de transformar la información de la duración (segundos) del potencial de acción de la UM a un pulso cuadrado con igual duración. Este proceso se lleva acabo haciendo una comparación de la señal rectificada con un promedio de la misma; de esta forma, cuando se presente un potencial de acción, la señal superara al promedio de la misma y el comparador dispara un pulso cuadrado, en este caso el pulso generado tendrá un valor que irá desde 0 Volts hasta el voltaje de saturación positivo que en este caso es 9V; para el comparador se usó un amplificador especifico para realizar la función (LM311) [16]. Para realizar el promedio de la señal se construyó un arreglo de capacitor y resistencia cuyos valores fueron calculados de forma experimental. La Figura 44 muestra el diagrama esquemático del circuito que genera los pulsos. Finalmente se puede apreciar el diagrama esquemático de todo el sistema (Figura 45). Figura 44. Diagrama esquemático del circuito comparador que genera los pulsos cuadrados, 44

53 Electrodo de referencia ElectrodoV ElectrodoV U6 R17 5.6k R14 5.6k 9V 9V VIN VO VIN REF RG RG 7 4 V V INA V C4 1n U1A 1 TL074 9V R6 R11 390k Malla R5 10K 82k 9V 9V C13 R9 390k 1 U2A TL R13 10k 7 1n U2B TL R5 3.3k C3 0.1u R3 33k R15 R10 33k R16 9V C14 0.1u 9V C20 0.1u 9V R21 270k C7 0.1u C5 0.1u R2 3.3k 9V 9V U1D TL k R4 3.3k R8 3.3k 9V 9 10 C1 9V 0.1u U2C TL k 9V C11 1n V R6 U1B MCP609 1 U2A TL R7 10k 82k 9V 9V C13 1n Salida digital 7 9v U4B 6 C19 0.1u LM311 5 R R19 10k D1 D1N v U4B TL C2 1u Figura 45. Diagrama esquemático del sistema completo para la adquisición de señales EMG con fuentes bipolares 45

54 5.2 Construcción del circuito impreso El diseño del PCB del circuito de este primer prototipo fue desarrollado con el programa Proteus. Fue elaborado en varias tarjetas de circuito impreso (Figura 46) debido a que se trataba de un prototipo experimental; en la tarjeta principal se encuentra la etapa de pre amplificación, filtrado y amplificación final, en otra tarjeta se encuentran la etapa de retroalimentación, en otra el circuito integrador y en otra más la etapa de rectificación de media onda y la etapa de pulsos cuadrados (Figura 47). Figura 46. Primer prototipo construido para la adquisición de señales de EMG 46

55 Figura 47. Acercamiento a la tarjeta principal y a la tarjeta de rectificación con los pulsos cuadrados. 5.3 Captura de registros de EMG Una vez funcionando el prototipo, era necesario tomar muestras y guardar los registros capturados por el sistema; en primera instancia se podían observar los potenciales en el osciloscopio, sin embargo surge la necesidad de tener los registros de estos potenciales en la computadora para poder graficarlos y compararlos con registros posteriores, es por eso que se utilizó una herramienta muy poderosa en el campo de la instrumentación electrónica, la instrumentación virtual. La instrumentación virtual es una forma de hacer mediciones y procesamiento de señales sin la necesidad de contar con el hardware con el que normalmente se haría en un laboratorio de electrónica; es un software en el que se pueden realizar procesamiento de señales tanto analógicas como digitales sin la necesidad de tener equipo físicamente, sino más bien se hace de manera virtual, se pueden programar todas las funciones que se necesiten. Este concepto nace con la idea de usar la PC para hacer mediciones, por ejemplo de temperatura, presión, etc. La instrumentación virtual es una capa de software y hardware que le permiten al usuario el uso de herramientas personalizadas hechas a la medida del usuario. En nuestro caso, se usó la instrumentación virtual para digitalizar los potenciales EMG y guardarlos para graficarlos posteriormente. Para esta tarea se uso el software LabView de National Instruments. Para realizar la tarea de la digitalización de los datos de EMG se usó la tarjeta de adquisición de National Instruments NI USB6009. La tarjeta NIUSB6009 se configuró para tener una tasa de muestreo 47

56 de 10kHz, tomando una escala de voltaje de 5V máximo y el modo de adquisición que se le configuro al DAQ fué el referenciado. Posteriormente se desarrolló una pequeña aplicación en Lab View para graficar y guardar los datos de adquisición al presionar un botón (Figura 48). Figura 48. Programa en Lab View para guardar los registros de EMG. 48

57 Voltaje (V) 5.4 Resultados Con el prototipo conectado a un voluntario se realizaron registros de actividad EMG, y por medio de la tarjeta de adquisición de datos de National Instruments fue posible la conversión analógicodigital de dichas señales. Los movimientos registrados fueron los de flexión suave y fuerte. La Figura 49, muestra un registro correspondiente al movimiento de flexión suave, se capturaron 1000 muestras en 1segundo valiéndonos de la tarjeta de adquisición de datos NIUSB6009. EMG Movimiento: Flexión suave EMG Número de muestrasen 100ms Figura 49. Registro de la señal de EMG. El registro corresponde al movimiento de flexión suave. Los registros corresponden a 1000 muestras tomadas a una frecuencia de 10kHz, correspondiendo la captura a 100ms. 49

58 Voltaje (V) Captura de señales EMG y salida digital Movimiento: Flexión suave EMG EMG Rectificada 8.50 Pulsos digitales Número de muestras en 500ms Figura 50. Registro del movimiento de flexión suave. En la grafica se observa la señal de EMG pura (azul), la señal EMG rectificada (roja) y los pulsos cuadrados generados por cada potencial de acción (verde). La Figura 50 muestra una gráfica de los mismos datos registrados correspondientes al movimiento de flexión suave, mostrando 500 muestras tomadas en 500ms. En esta grafica se puede apreciar la señal de EMG, la señal de EMG rectificada y los pulsos cuadrados generados por el circuito comparador; se puede observar claramente como los pulsos cuadrados corresponden exactamente a los potenciales de acción. 50

59 Figura 51. Registro del movimiento de flexión fuerte del brazo durante 100ms. Figura 52. Placa principal capturando una señal de EMG. 51

60 Voltaje (V) Captura de señales EMG y salida digital Movimiento: Flexión fuerte EMG EMG Rectificada Pulsos digitales Numero de Muestras en 500ms Figura 53. Registro del movimiento de flexión fuerte. En la grafica se observa la señal de EMG pura (azul), la señal EMG rectificada (roja) y los pulsos cuadrados generados por cada potencial de acción (verde). La Figura 51 muestra los registros de la actividad de EMG correspondientes a la flexión del brazo haciendo fuerza con el musculo bíceps; se observa que esta señal es superior en amplitud a la graficada en la Figura 49.. La imagen de la Figura 52 muestra una captura de EMG hecha con el osciloscopio durante una flexión fuerte 52

61 del brazo. La grafica de la Figura 53 muestra los pulsos generados con el circuito comparador durante el movimiento de flexión fuerte, este movimiento genera más actividad poblacional de potenciales de acción así como el aumento de la amplitud de estos potenciales; de igual forma se nota que los pulsos cuadrados coinciden en duración con los potenciales de acción. 5.6 Diseño y construcción del Prototipo de Adquisición de EMG de un Solo Canal LVTTL Este prototipo presenta varias mejoras con respecto al anterior, una de ellas es el uso de circuitos integrados más precisos, las Tablas 3, 4, 5 muestran una comparación de las características más significativas de los chips usados en la construcción del prototipo anterior y los chips usados en el nuevo prototipo. INA129 (Prototipo INA326 (Prototipo Unidades fuente bipolar) LVTTL) CMRR db Voltaje de Offset µv Impedancia de Ω pf entrada Fuentes de ±2.25 a ± a 5.5 V alimentación Ruido a 1kHz 8 33 nv/ Corriente de polarización Única fuente de alimentación 5 ±2 na No Si Tabla 3.Tablas comparativas de los amplificadores de instrumentación usados en los prototipos. 53

62 TL074 OPA4376 Unidades (Prototipo fuente bipolar) (Prototipo LVTTL) Voltaje de Offset 50 5 µv CMRR db Fuentes de ±18 2 a 5.5 V alimentación Ruido a 1kHz nv/ Tabla 4.Tablas comparativas de los amplificadores operacionales usados. OPA2333 OPA2335 Unidades (Prototipo LVTTL) (Prototipo LVTTL) Voltaje de Offset 23 5 µv CMRR db Fuentes de alimentación 2.7 a a 5.5 V Tabla 5. Principales características de los amplificadores operacionales de auto cero usados en el prototipo LVTTL. Uno de los cambios más significativos con los que cuenta el prototipo de LVTTL con respecto al Bipolar, es el uso de una sola fuente de alimentación, la cual es de 3.3V a diferencia de la alimentación usada anteriormente (±9V), de ahí el nombre LVTTL (Low Voltage Transistor Transistor Logic). Debido a que los potenciales de EMG presentan componentes positivos y negativos, es necesario manejar dos niveles de voltaje, uno más positivo y otro menos positivo. Por este motivo se implemento una referencia o tierra virtual haciendo un divisor de voltaje activo. Esta tierra virtual tendrá el valor de la mitad de la fuente de alimentación de 3.3V (1.65 V) y es sobre esta referencia de voltaje que estará montada la señal de EMG, 54

63 mientras que las fuentes de alimentación de los chips serán de 0V para V y de 3.3V para V. Un problema que hay que considerar es el consumo y la vida útil de la fuente de alimentación que se usará para alimentar al sistema; en nuestro caso, el sistema debe ser capaz de funcionar con baterías ya sean de IonLi, de Carbón o de Níquel metal Hidruro. Al trabajar con baterías se deben de tener en cuenta algunos aspectos, por ejemplo se debe de mantener un voltaje en la alimentación lo más invariante que se pueda; esto se debe a que el convertidor A/D tomará como referencia de la conversión los valores de la fuente de alimentación y si estos varían con el tiempo, se tendrá un dato erróneo al finalizar la conversión. La solución fue incorporar un circuito de bomba de carga el cual tiene como entrada el voltaje proveniente las baterías; estos circuitos se encargan de mantener un voltaje en un nivel fijo sin importar los cambios de la fuente de alimentación, es decir, si el voltaje está por encima del valor deseado (3.3V) entonces actúa como regulador y disminuye el valor de voltaje a 3.3V mientras que si se encentra en un nivel inferior a 3.3, por ejemplo 2V entonces actúa como un circuito stepup el cual eleva el valor de voltaje a los 3.3V deseados; el circuito utilizado para realizar esta tarea fue el REG711[15] de la Texas Instruments, el cual admite voltajes de entrada de 1.8V a 5.5V teniendo como salida un valor de 3.3V. La Figura 54 muestra el diagrama esquemático del divisor de voltaje activo y el circuito de bomba de carga. Figura 54. Diagrama esquemático para regular el voltaje de entrada y establecer el voltaje de referencia o tierra virtual. 55

64 5.6.1 Electrodos Se realizaron cambios en cuanto al tipo de electrodos usados; anteriormente se usaron los electrodos de plata cloruro de plata (Ag/AgCl), estos electrodos son desechables y vienen con una capa de gel conductor que se encarga de mejorar el contacto entre el metal y la piel. La desventaja presente en este tipo de electrodos es su uso limitado, ya que solo se usan una vez y usarlos por un largo periodo de tiempo propicia que la orilla auto adherible con la que cuenta pierda su adherencia y con esto se caiga del cuerpo, limitando así los registros; es por esta razón que se diseñaron electrodos secos [18] de superficie de acero inoxidable. Esto electrodos tienen forma rectangular, cuyas dimensiones se muestran en la Figura Construcción El material usado para la construcción fue acero inoxidable; los rectángulos de 1.5cm x 1.2cm fueron cortados de una lámina de acero de inoxidable de 30cm x 30cm. Se procuró lijar y limpiar bien la cara de la plaquita conductora que estaría en contacto con la piel, Figura 56, mientras que en la otra cara se soldó un tornillo de acero inoxidable para que en un futuro el electrodo pueda ser atornillado a una placa de circuito impreso donde se localizaría el amplificador de instrumentación Figura 57. Antes de llegar a las medidas finales (1.5 x 1.2cm), se hicieron pruebas con rectangulos de varios tamaños, principalmente se buscaba hacer más pequeña el area de la placa conductora; sin embargo, las pruebas realizadas con placas con dimensiones más pequeñas a 1cm no fueron muy alentadoras debido a que la señal EMG no podia ser registrada. 56

65 57

66 Montaje y ubicación En total fueron 3 electrodos los que se construyeron, el electrodo inversor, el no inversor y el de referencia. Estos electrodos fueron montados en una banda para ajustarse alrededor del bazo Figura 58. La distancia de separación entre los electrodos inversor y no inversor fue de 1 cm, se trató de usar la distancia de separación más pequeña posible, ya que de esta forma se obtiene una mejor selectividad [5]. También se hicieron modificaciones en cuanto a la ubicación del electrodo de referencia, el cual en el prototipo anterior se colocaba en la muñeca, en esta ocasión fue colocado en el centro del musculo tríceps, tal como muestra la Figura

67 Figura 59. Nueva Posición de los electrodos Ganancias Debido al cambio de la distancia inter electrodo, es necesario cambiar la cadena de ganancias en cascada, esto se debe a que la señal de EMG de superficie depende de la distancia inter electrodo; a mayor distancia de separación, mayor amplitud de la señal y a menor distancia menor amplitud; sin embargo si se excede en esta distancia ya sea muy grande o muy pequeña tendrá como resultado la distorsión de señal o la desaparición de la misma. La distancia inter electrodo usada anteriormente fue de 2 cm y la actual es de 1cm, sin embargo estas variables (distancia inter electrodo y amplitud de EMG) no tienen una relación directamente proporcional, es por esto que se calculó la nueva cadena de ganancias de manera experimental, quedado finalmente con una ganancia total de (Figura 60). 59

68 Figura 60. Nuevos valores de ganancias por etapas Etapa de Pre amplificación En la etapa de pre amplificación se utilizo el amplificador de instrumentación INA326. Este amplificador presenta unas cuantas diferencias en cuanto a su estructura interna, con lo cual la forma de calcular la ganancia cambia significativamente (Figura 61). La Tabla 6, muestra los valores de resistencias en paralelo con el capacitor recomendado por el fabricante para conseguir la ganancia deseada, cabe mencionar que los valores cambian si se usa una configuración unipolar o bipolar. 60

69 En este caso como la ganancia deseada es de 10, y la configuración es unipolar, se tomaron los valores de R1 = 40k y R2 C2 = 200k 0.5nF. De la misma manera que en el prototipo de fuente bipolar, se incluyó un circuito de pierna derecha como el que se propone en la hoja de datos del INA129 [8]; con la única diferencia que en vez de tomar como referencia la tierra 0V se tomó la tierra virtual como la nueva referencia; además en vez de usar los amplificadores TL074 se usaron amplificadores de auto cero de la familia OPA2333 tal como se recomienda por la hoja de datos. El esquemático del circuito de pierna derecha modificado se muestra en la Figura

70 Electrodo de Ref. R11 390k Resistencias R1 del INA326 Malla 3.3v C13 1n U2A R9 390k 7 U2B OPA R13 10k 1 OPA C14 3.3v 0.1u Figura 62. Diagrama esquemático del circuito de pierna derecha para el prototipo de 3.3V. La salida denominada como Malla se conecta la malla del blindaje con que cuenta el cable de los electrodos; como se mencionó en el diseño del prototipo bipolar, la malla tiene la finalidad de mantener bajos los niveles de corrientes de fuga. El cable usado fue uno blindado con tres conductores internos uno para el electrodo no inversor, uno para el inversor y uno para el electrodo de referencia; esta cable a su vez tiene un conector DB9 el cual se usó para conectarlo a la tarjeta de adquisición en la que se encuentra el amplificador de instrumentación y la demás circuitería. La Figura 63 muestra el cable usado para la conexión de los electrodos, mientras que la Figura 64 muestra la conexión de los cables conductores de los electrodos y la malla con el conector DB9. 62

71 Figura 63. Cable de tres conductores recubierto con una malla conductora usado para la conexión de los electrodos y la placa de circuito impreso. Figura 64. Conexión entre el conector DB9 y los cables conductores. 63

72 8 4 Se modifico adecuadamente el circuito integrador usado en el prototipo de fuente bipolar con el fin de adecuarlo a la tierra virtual. Los valores de capacitor y resistencia mantuvieron su valor. El amplificador operacional utilizado fue el OPA2335 [11] (Figura 65). El cálculo de las resistencias se muestra a continuación, tomando un valor de 10 muestras de la señal con el componente más alto en frecuencia de la señal de EMG (500Hz)., C20 Salida del INA326 pin(6) Ref erencia del INA u U2A OPA R21 270k C13 3.3v 1n Figura 65. Diagrama esquemático del circuito integrador con los cambios necesarios para trabajar a 3.3V usando en OPA2335. Inmediatamente después del amplificador de instrumentación, se colocó una etapa de amplificación con un valor de 11, el diagrama esquemático es mostrado en la Figura 66. Se uso un amplificador OPA4376 [12] en configuración no inversora. El cálculo de las resistencias se muestra a continuación: 64

73 v C4 salida del INA326 (pin6) U1A 3 2 1n 1 Entrade de la etapa de f iltrado OPA4376 R6 R5 3.3k 330 Figura 66. Diagrama esquemático del circuito amplificación a la salida del INA326 y antes de entrar a la etapa de filtrado. La Figura 67, muestra el diagrama esquemático de la etapa de pre amplificación del prototipo de 3.3 Volts. Ahora todas las referencias de los amplificadores están conectadas la tierra virtual. 65

74 Electrodo de ref erencia 3.3v ElectrodoV C4 ElectrodoV 1n C6 0.5n R1 200k R17 20k 3.3v U6 VIN VIN R2 R1 R1 V V VO R5 U1A 1 OPA4376 R6 3.3k Etapa de Filtrado INA R14 20k Malla R11 390k 3.3v C13 1n U2A R9 390k 7 U2B OPA R13 10k 1 OPA v C14 0.1u C20 R21 270k 0.1u 1 U2A OPA C13 Figura 67. Diagrama esquemático de la etapa de pre amplificación. 3.3v 1n 66

75 5.6.3 Etapa de Filtrado Para la etapa de filtrado se siguió el mismo criterio que en el prototipo anterior; se consideraron componentes de EMG con frecuencias de 20 a 500Hz solo que se cambio la referencia de la señal ya que ahora está montada sobre la tierra virtual. Para realizar el filtrado se usó un filtro pasa altas (20Hz) (Figura 68) seguido por un filtro pasa bajas (500Hz), (Figura 69), para formar el filtro pasa bandas 20500Hz. De igual manera los filtros tienen configuración Butterworth de segundo orden [3]: Tomando los siguientes valores de R, tenemos: El cálculo de los valores del filtro pasa altas [3] se muestra a continuación: 67

76 4 11 El diseño del filtro pasa bajas [3] se realizo como sigue: C3 0.1u R10 33k R16 56k Filtro pasa altas R4 3.3k R U2C OPA4376 Etapa de Amplif icación f inal 3.3k C1 0.1u 3.3v Figura 69. Diagrama esquemático del filtro pasa altas de 500Hz de segundo orden configuración Butterworth. El diagrama esquemático completo del filtro pasa bandas 20500Hz se muestra en la figura

77 C3 0.1u R5 82k R3 33k R15 R10 33k R16 56k 56k U2C Preamplif icacion C7 C U1D OPA R4 3.3k R OPA4376 Etapa de Amplf icación Final 0.1u 0.1u 3.3k R2 82k 3.3v C1 0.1u 3.3v Figura 70. Diagrama esquemático del filtro pasa bandas completo de de Hz Etapa de Amplificación Final La ganancia de la etapa de amplificación (Figura 71) fue de 8, usando un amplificador no inversor; el cálculo de las resistencias para fijar la ganancia se presenta a continuación:, 69

78 v C11 Etapa de Filtrado 5 6 1n U1B 7 Etapa Rectif icadora OPA4376 R6 3.3k R7 470 Figura 71. Diagrama esquemático del amplificador final en configuración no inversora Etapa de rectificación de media onda Luego de ser filtrada y amplificada la señal de EMG, se procede a la etapa de rectificación de media onda; Figura 72 en esta etapa se usó un amplificador de auto cero OPA2335; la salida de esta etapa se conecta a la etapa de pulsos digitales o directamente hacia en convertidor analógico digital. U4B A/D D1 7 OPA D1N4148 Etapa de Amplif icación f inal R19 10k 3.3v Figura 72. Diagrama esquemático de circuito de rectificación de media onda. 70

79 5.6.6 Pulsos digitales La etapa de pulsos digitales fue agregada con el fin de obtener información de las señales de EMG en forma digital. En esta etapa, los pulsos digitales generados tienen una amplitud de 3.3V, por lo que pueden ser interpretados por cualquier chip que trabaje con tecnología LVTTL. Se utilizó un circuito comparador TLV302 de la Texas Instruments para realizar la comparación entre la señal de EMG rectificada y el promedio de la misma, de esta forma en el momento que se presente un potencial de alguna unidad motora, la señal de EMG rectificada superará a su promedio y por lo tanto el comparador cambiará su estado actual (0V) por el estado de saturación positiva de 3.3V (comparador no inversor), de esta forma se genera un pulso cuadrado de igual duración que el potencial de acción de la UM. La Figura 73 muestra el diagrama esquemático del circuito comparador. Figura 73. Circuito comparador encargado de generar los pulsos digitales de 3.3V. Sin embargo esta salida es de forma serial, y consiste en un tren de pulsos continuos, y para una aplicación futura con una red neuronal artificial se requiere una salida en forma paralela. 71

80 Las redes neuronales artificiales están construidas imitando en lo posible la arquitectura de una red neuronal biológica, esto es se usan neuronas que están arregladas en capas, conexiones o sinapsis entre las neuronas y estas conexiones pueden ser excitatorias o inhibitorias. Así una red neuronal artificial tiene n número de entradas, kr numero de capas intermedias y m número de salidas (Figura 74). Figura 74. Red neurona artificial de n entradas y 1 salida. Una forma de crear una compatibilidad entre los trenes de pulsos digitales y la entrada de una red neuronal es usando un circuito de cambio (shift register) [23]. Estos circuitos realizan la conversión de una señal TTL de entrada serial a una con el mismo valor TTL pero paralela (Figura 75), de esta forma pudiéramos usar un circuito de este tipo y en su entrada serial conectarle la salida de los pulsos digitales para posteriormente tener la salida paralela. Sin embargo, se presenta una dificultad; los circuitos de registros de cambio requieren un reloj interno o externo para realizar la conversión; de esta forma requeriremos un circuito que opere a una frecuencia de por lo menos 10kHz, ya que la frecuencia más alta de 72

81 EMG es de 500Hz, de esta forma se tendría tiempo suficiente para entregar la salida de hasta 20 datos paralelos. Figura 75. Circuito de cambio de serial a paralelo con 4 salidas. El introducir un circuito de registro de cambio serial paralelo presenta varias dificultades, como por ejemplo encontrar uno que pueda operar con una frecuencia de reloj interno de cuando menos 10kHz; y que sea compatible con la lógica LVTTL, es por esta razón que en el desarrollo del sistema para adquisición de EMG no se tomó en cuenta este circuito; en su lugar se optó usar un convertidor análogo digital. 73

82 5.6.7 Conversión analógica digital Con la señal de EMG rectificada, se procede a la etapa de conversión analógico digital. Para seleccionar un convertidor A/D adecuado era necesario hacerse preguntas como por ejemplo: A qué frecuencia de muestreo? Debido a que los componentes más grandes en frecuencia de la señal de EMG tienen lugar al rededor de los 500Hz y por el teorema de muestreo de Nyquist, se requiere un convertidor que muestree la señal a una frecuencia cuando menos del doble del armónico mayor (500Hz), entonces se requiere como mínimo una frecuencia de muestreo de 1kHz. En el mercado existen muchos convertidores analógicos digitales; sin embargo, estos circuitos requieren otros componentes complementarios, por ejemplo osciladores, circuitos de referencia de voltaje, reguladores etc. Por otro lado están los convertidores analógico digitales internos de los micro controladores, estos circuitos tienen la ventaja de ser configurados por software y presentan mucha más versatilidad al menos para esta aplicación en particular; en cuanto a los bits de resolución, estos convertidores nos ofrecen de 8 a 10 bits. Una de las principales ventajas que ofrece el convertidor A/D interno de un micro controlador, es el poder presentar el valor de la conversión en los pines de salida con los que cuenta el micro, de esta forma obtenemos la entrada en paralelo que requiere la red neuronal artificial. Para la conversión se utilizó el convertidor analógico digital interno de un micro controlador PIC de Microchip: PIC16F687 [7] (Figura 76), el cual fue alimentado con un voltaje (VDD) de 3.3V; las principales características de este microcontrolador son mostradas en la Tabla 7. 74

83 Figura 76. Diagrama de pines del PIC16F687 Características del PIC16F687 Oscilador interno de 8Mhz 32kHz Oscilador externo de 4Mhz 20MHz Voltaje de operación de 2.0V a 5.5V 12 canales de Conversión A/D con 10 bit de resolución Interfaz RS pines de entrada/salida y 1 pin como entrada únicamente Tabla7. Principales características del PIC16F687 Una vez elegido el microcontrolador, se centró la atención en las características de su convertidor A/D, principalmente en la frecuencia de muestreo y los bits de conversión. Primero se debe de establecer el voltaje de referencia para la conversión, en base a este voltaje se medirá la señal de EMG rectificada la cual tiene 1V de amplitud promedio, por tanto se necesita un valor de voltaje de referencia mucho mayor a 1V, pero recordemos que la señal de EMG está montada sobre 1.65V, por lo tanto, en realidad necesitamos un voltaje mayor que 2.65V. El PIC16F687 da la opción de elegir un voltaje de referencia externo (el cual debe de colocarse en el PIN RA1) o usar el voltaje de alimentación del PIC (3.3V); así que para ahorrarse 75

84 componentes extras se prefirió usar VDD (3.3V) como voltaje de referencia para el convertidor A/D. Recuérdese que en este diseño el voltaje de 3.3V es suministrado por un circuito de bomba de carga el cual se encarga de mantener siempre fijo el nivel de voltaje (lo regula). Para realizar sus funciones, el convertidor A/D necesita un oscilador o reloj mediante el cual se fijará su frecuencia de muestreo; en el caso del A/D del PIC16f687 existe la posibilidad de elegir usar un oscilador interno el cual trabaja de 32kHz a 8Mhz o un oscilador externo de 4MHz a 20MHz; sin embargo, debido a que las señales biológicas que se procesan son demasiado propensas al ruido y considerando que el oscilador externo puede interferir con estas, se prefirió usar el oscilador interno a la frecuencia de 8MHz, de este modo cada ciclo de instrucción del microcontrolador solo toma 0.5µs. Una vez elegido el oscilador, lo siguiente es seleccionar la frecuencia de muestreo del A/D; ésta estará en proporción a la frecuencia del oscilador (FOSC=8MHz), la Tabla 8 muestra los diferentes valores de frecuencias para la conversión recomendadas por el fabricante. Un punto importante es el tiempo para completar la conversión de un solo bit (TAD); ya que para completar una conversión de 10 bits se requieren 11 TADs. 76

85 Reloj ADC Frecuencia del dispositivo (FOSC) 20MHz 8MHz 4MHz 1MHz FOSC/2 100ns (2) 250ns (2) 500ns (2) 2.0µs FOSC/4 200ns (2) 500ns (2) 1.0µs (2) 4.0µs FOSC/8 400ns (2) 1.0µs (2) 2.0µs 8.0µs (3) FOSC/16 800ns (2) 2.0µs 4.0µs 16.0µs (3) FOSC/32 1.6µs 4.0µs 8.0µs (3) 32.0µs (3) FOSC/64 3.2µs 8.0µs 16.0µs (3) 64.0µs (3) FRC 26µs (1,4) 26µs (1,4) 26µs (1,4) 26µs (1,4) Tabla 8. Las celdas grises indican un valor no recomendado. Notas (súper índices): (1): El TAD para FCR tiene un tiempo típico de 4µs para VDD>3.0V (2): Estos valores violan en TAD mínimo requerido. (3): Para conversiones más rápidas, seleccionar otro reloj. (4): Cuando la frecuencia del dispositivo es más grande de 1MHz, el FCR solo se recomienda con el modo sleep. Debido a que una conversión completa de 10bits al usar la frecuencia del oscilador interno (FOSC/16) toma típicamente 11*TAD y un TAD para voltajes mayores a 3.0V toma 2µs, entonces el tiempo completo para realizar la conversión de 10bits nos toma: Este tiempo de conversión ( ) equivale a una frecuencia de muestreo de: Como la frecuencia mínima a la que deberíamos de muestrear la señal de EMG para evitar el aliasing es de 1kHz, y nuestra frecuencia de muestreo obtenida para la conversión de los 10 bits es de 45.45kHz, entonces podemos muestrear la señal de EMG sin problemas. 77

86 Se usaron los 10 bits de conversión para el dato digital, de esta forma tenemos 2 n 1 valores (1023). Tomando en cuenta que la amplitud promedio de las señal de EMG está alrededor de 1V y que está montada sobre el nivel de voltaje de 1.65V (tierra virtual), se tiene un valor de voltaje de 1V 1.65V = 2.65de valor promedio. La Figura 77 muestra la señal de EMG rectificada medida desde los 0 Volts, estos valores de voltaje son los que tomará el convertidor analógico digital. Finalmente el circuito del convertidor analógico queda como se muestra en la Figura 78. Figura 77. Grafica que muestra los valores de la señal de EMG rectificada correspondiente al movimiento de flexión, medida desde los 0V. 78

87 3.3V 3.3k U VDD RA5 RA4 RA3 RC5 RC4 RC3 RC6 RC7 RB7 PIC16F687 VSS RA0 RA1 RA2 RC0 RC1 RC2 RB4 RB5 RB EMG rectif icada Bit 0 Bit 1 Bit 2 Bit 3 Bit 4 Bit 5 Bit 6 Bit 7 Bit 8 Bit 9 Figura 78. Diagrama esquemático del PIC16f Programa en Ensamblador Para tener un mejor desempeño y realizar las operaciones de conversión de datos con el convertidor A/D con mayor velocidad, se usó el lenguaje ensamblador. El diagrama de flujo del programa desarrollado se muestra en la figura 79, y el listado del programa se encuentra en el apéndice 1. Básicamente el trabajo del microcontrolador es realizar la conversión A/D y desplegar el dato binario en sus puertos; adicionalmente se toma a consideración la red neuronal la cual tomará el valor binario entregado por el micro; esto se hace de la siguiente manera: cuando el PIC termina la conversión A/D pone a uno lógico su pin (RB5) LISTO para indicarle a la red neuronal que puede tomar el dato completo, inmediatamente después el microcontrolador se pone a esperar que la red le indique que ha leído el dato binario mediante el monitoreo continuo de uno de sus pines, el RB7, el cual tiene asociada la variable RECIBIDO y se pondrá a uno lógico cuando la red termine de leer el dato binario. 79

88 Figura 79. Diagrama de flujo del programa en ensamblador del PIC16F Probando el Convertidor Analógico Digital del PIC Una vez cargado el programar al microcontrolador se procedió a realizar una prueba; esta consistió en tomar los 10 bits entregados por los puertos del PIC y hacerles una conversión de digital a analógico (DAC) por medio de la tarjeta de adquisición de datos de National Instruments NIUSB6009; de esta forma obtendremos una vista rápida de la capacidad del convertidor analógico del PIC para captar las señales de EMG. El programa que se encarga de hacer la conversión de digital a analógico fue hecho en Labview y la tarea realizada es bastante simple, primero se adquiere el dato binario de 10 bits y se obtiene el 80

89 numero decimal que representa; al ser un valor de 10bits este número puede tomar valores del 0 al 1024, seguidamente este valor escalar se multiplica por una constante de escala (C); esta constantes es conocida como el paso del convertidor analógico digital y se calcula dividiendo el voltaje de referencia de ADC entre el número de bits con que cuenta el convertidor; la constante se calculo de la siguiente manera: Finalmente el valor resultante entre la multiplicación de la constante C y el dato decimal nos da el valor analógico correspondiente a la conversión de digital a analógico. El programa se presenta en la Figura (80): Figura 80. Programa en Labview para la conversión de Digital a analógico. 81

90 Una vez preparado el programa para la conversión de Digital a Analogico, se procedió a conectar los 10 bits de salida del convertidor analógico digital de PIC al convertidor de digital analógico de NIUSB6009 y se realizaron varias pruebas de conversión de datos de EMG. El resultado de esta conversión fue graficado para mostrar los patrones de las señales de EMG, los cuales pueden apreciarse en las Figuras (89 90); también se muestran registros de la señal de EMG rectificada (Figura 91 92) registrada con el ADC de la tarjeta NIUSB6009. Figura 81. Grafica de la señal de EMG adquirida por el ADC del PIC, fueron tomadas 1000 muestras en 1 segundo. La captura corresponde al movimiento de flexión fuerte tomada del musculo bíceps. 82

91 Figura 82. Grafica de la señal de EMG adquirida por el ADC del PIC, fueron tomadas 1000 muestras en 1 segundo. La captura corresponde al movimiento de flexión fuerte del bíceps. Figura 83. Grafica de la señal de EMG adquirida por el ADC de la tarjeta NIUSB6009, fueron tomadas 1000 muestras en 1 segundo. La captura corresponde al movimiento de flexión fuerte tomada del musculo bíceps. 83

92 Figura 84. Grafica de la señal de EMG adquirida por el ADC de la tarjeta NIUSB6009, fueron tomadas 1000 muestras en 1 segundo. La captura corresponde al movimiento de flexión fuerte tomada del musculo bíceps. De los registros capturados y mostrados en las graficas anteriores se observa que la información adquirida por el convertidor analógico digital de PIC es muy similar a la captada por en NIUSB6009. Finalmente la Figura (81) muestra el diagrama esquemático correspondiente a este prototipo de adquisición de EMG a 3.3V. 84

93 3.3v R9 390k R11 390k R14 20k R17 20k 200k R1 0.5n C6 ElectrodoV ElectrodoV Electrodo de referencia 3.3v U2B OPA C14 0.1u R13 10k U6 VIN VIN R2 R1 R1 V V INA326 VO v Malla 6 3.3v u C20 2 OPA n U2A C13 10K R n C13 3 U2A OPA R21 270k 82k R6 11 OPA U1A 1n C4 3.3v V C7 0.1u Salida digital 3.3V 3.3k V C21 2.2u R5 82k C5 0.1u 7 U5 VDD RA5 RA4 RA3 RC5 RC4 RC3 RC6 RC7 RB7 PIC16F687 RB6 11 RC1 RC2 RB4 RB Bit 0 Bit 1 Bit 2 Bit 3 Bit 4 Bit 5 Bit 6 Bit 7 Bit 8 Bit 9 VSS RA0 RA1 RA2 RC EMG rectif icada C2 1u v TLV R k 8 U4B D1N4148 R v 7 0.1u D1 8 4 OPA U4B C19 R2 C1 82k 3.3v 3.3v 0.1u U1D OPA4376 R4 3.3k R k U2C OPA k R3 33k R15 R10 33k C3 0.1u C17 4.7u R12 100k 4 5 GND PGND 1 NC 2 EN 3 VIN 8 VOUT 7 Cpump 6 Cpump C9 C9 4.7u 0.22u R12 100k U4 REG V R16 56k k R u C V 82k R v 7 MCP6021 U4B 3.3 V C11 1n U1B 7 OPA4376 C16 4.7u C18 4.7u Salida Figura 85. Diagrama esquemático del sistema de adquisición de EMG de 3.3V. 85

94 Resultados Este segundo prototipo fue desarrollado en una solo placa de circuito impreso, a diferencia del primer prototipo. La Figura (86) muestra la vista de la parte de arriba de la placa de circuito impreso construida, la parte de debajo de circuito es mostrada en la Figura 87, en la cual se encuentran soldados los integrados de montaje superficial, Figura 86. Placa de circuito impreso del sistema de adquisición de EMG, vista por arriba. Figura 87. Placa de circuito impreso del sistema de adquisición de EMG, vista por debajo. Los chips de montaje superficial aparecen encerrados en círculos amarillos. 86

95 Para la adquisicion de los registros de EMG se usó el mismo programa de LabVIEW que sirvió en el prototipo anterior. Las grafica presentada en la Figura 88 muestra el registro de la señal de EMG correspondiente a un movimiento de flexión suave en un perido de tiempo de 700ms; en esta grafica tambien se muestran los pulsos digitales y se puede observar que van desde 1.65 V hasta 1.65 V, teniendo una amplitu de 3.3V, a diferencia del prototipo anterior el cual generaba pulsos cuadrados con amplitudes de 9V. Figura 88. Grafica de EMG correspondiente al movimiento de flexión suave durante 700ms. 87

96 La grafica de la Figura 89 muestra la señal de EMG correspondiente al movimiento de flexion fuerte; se puede apreciar que el numero de potenciales de accion es mayor que los presentes en la grafica de la Figura 88 correspondiente a la flexion suave, de igual forma se aprecia que los potenciales presentan una amplitud mucho mayor; la Figura 90 presenta la comparacion entre la señal de EMG de flexion suave y la señal de EMG correspendiente a la flexion fuerte. Figura 89. Grafica de EMG correspondiente al movimiento de flexión fuerte durante 700ms. 88

Nociones básicas sobre adquisición de señales

Nociones básicas sobre adquisición de señales Electrónica ENTREGA 1 Nociones básicas sobre adquisición de señales Elaborado por Juan Antonio Rubia Mena Introducción Con este documento pretendemos dar unas nociones básicas sobre las técnicas de medida

Más detalles

Tema 07: Acondicionamiento

Tema 07: Acondicionamiento Tema 07: Acondicionamiento Solicitado: Ejercicios 02: Simulación de circuitos amplificadores Ejercicios 03 Acondicionamiento Lineal M. en C. Edgardo Adrián Franco Martínez http://www.eafranco.com edfrancom@ipn.mx

Más detalles

Gestión digital sencilla de controladores de fuentes de alimentación analógicas

Gestión digital sencilla de controladores de fuentes de alimentación analógicas COMENTARIO TECNICO Gestión digital sencilla de controladores de fuentes de alimentación analógicas Por Josh Mandelcorn, miembro del equipo técnico de Texas Instruments Normalmente, el control digital de

Más detalles

La presente tesis pretende que los estudiantes observen la teoría de las acciones de control

La presente tesis pretende que los estudiantes observen la teoría de las acciones de control CAPÍTULO V. CONCLUSIONES. La presente tesis pretende que los estudiantes observen la teoría de las acciones de control de forma virtual al mismo tiempo analicen físicamente los sistemas electrónicos cuando

Más detalles

Unidad Orientativa (Electrónica) Amplificadores Operacionales

Unidad Orientativa (Electrónica) Amplificadores Operacionales Unidad Orientativa (Electrónica) 1 Amplificadores Operacionales Índice Temático 2 1. Que son los amplificadores operacionales? 2. Conociendo a los Amp. Op. 3. Parámetros Principales. 4. Circuitos Básicos

Más detalles

CAPITULO 3. SENSOR DE TEMPERATURA

CAPITULO 3. SENSOR DE TEMPERATURA CAPITULO 3. SENSOR DE TEMPERATURA Este sensor deberá detectar los cambios de temperatura como función de la altitud, y fricción con el aire. Al igual que en los acelerómetros, poco se dispone de datos

Más detalles

TRANSMISION DIGITAL. PCM, Modulación por Codificación de Pulsos

TRANSMISION DIGITAL. PCM, Modulación por Codificación de Pulsos MODULACIÓN TRANSMISION DIGITAL La amplia naturaleza de las señales analógicas es evidente, cualquier forma de onda está disponible con toda seguridad en el ámbito analógico, nos encontramos con una onda

Más detalles

MODULO Nº6 TIRISTORES UNIDIRECCIONALES

MODULO Nº6 TIRISTORES UNIDIRECCIONALES MODULO Nº6 TIRISTORES UNIDIRECCIONLES UNIDD: CONVERTIDORES C - CC TEMS: Tiristores. Rectificador Controlado de Silicio. Parámetros del SCR. Circuitos de Encendido y pagado del SCR. Controlador de Ángulo

Más detalles

CAPITULO IV. Pruebas y resultados.

CAPITULO IV. Pruebas y resultados. CAPITULO IV. Pruebas y resultados. 4.1 Introducción En este capítulo, se comentarán las pruebas realizadas al prototipo. También, se comentarán los resultados obtenidos durante estas pruebas a razón de

Más detalles

Tema 11: Instrumentación virtual

Tema 11: Instrumentación virtual Tema 11: Instrumentación virtual Solicitado: Tarea 09: Mapa conceptual: Instrumentación Virtual M. en C. Edgardo Adrián Franco Martínez http://www.eafranco.com edfrancom@ipn.mx @edfrancom edgardoadrianfrancom

Más detalles

FUENTES DE ALIMENTACION

FUENTES DE ALIMENTACION FUENTES DE ALIMENTACION INTRODUCCIÓN Podemos definir fuente de alimentación como aparato electrónico modificador de la electricidad que convierte la tensión alterna en una tensión continua. Remontándonos

Más detalles

Esta fuente se encarga de convertir una tensión de ca a una tensión de cd proporcionando la corriente necesaria para la carga.

Esta fuente se encarga de convertir una tensión de ca a una tensión de cd proporcionando la corriente necesaria para la carga. Página 1 de 9 REGULADOR DE VOLTAJE DE cc La mayor parte de los circuitos electrónicos requieren voltajes de cd para operar. Una forma de proporcionar este voltaje es mediante baterías en donde se requieren

Más detalles

MANUAL TÉCNICO FILTRO DE POTENCIALES

MANUAL TÉCNICO FILTRO DE POTENCIALES MANUAL TÉCNICO FILTRO DE POTENCIALES 1 Introducción a la Protección Catódica p. 2 2 Perjucios de la electrolisis p. 2 3 Filtro de Potenciales p. 4 4 Conexión del Filtro de Potenciales p. 5-1 - 1 Introducción

Más detalles

TRABAJO PRACTICO No 7. MEDICION de DISTORSION EN AMPLIFICADORES DE AUDIO ANALIZADORES DE ESPECTRO DE AUDIO

TRABAJO PRACTICO No 7. MEDICION de DISTORSION EN AMPLIFICADORES DE AUDIO ANALIZADORES DE ESPECTRO DE AUDIO TRABAJO PRACTICO No 7 MEDICION de DISTORSION EN AMPLIFICADORES DE AUDIO ANALIZADORES DE ESPECTRO DE AUDIO INTRODUCCION TEORICA: La distorsión es un efecto por el cual una señal pura (de una única frecuencia)

Más detalles

5. Despliegue en la PC

5. Despliegue en la PC 5 DESPLIEGUE EN LA PC 62 5.1 Conexión a la PC por medio de la tarjeta de audio La adquisición de señales analógicas es un trabajo que cada vez se hace más necesario en todos los campos relacionados con

Más detalles

Instituto Tecnológico de Massachussets Departamento de Ingeniería Eléctrica e Informática. 6.002 Circuitos electrónicos Otoño 2000

Instituto Tecnológico de Massachussets Departamento de Ingeniería Eléctrica e Informática. 6.002 Circuitos electrónicos Otoño 2000 Instituto Tecnológico de Massachussets Departamento de Ingeniería Eléctrica e Informática 6.002 Circuitos electrónicos Otoño 2000 Tarea para casa 11 Boletín F00-057 Fecha de entrega: 6/12/00 Introducción

Más detalles

CAPITULO 4. Inversores para control de velocidad de motores de

CAPITULO 4. Inversores para control de velocidad de motores de CAPITULO 4. Inversores para control de velocidad de motores de inducción mediante relación v/f. 4.1 Introducción. La frecuencia de salida de un inversor estático está determinada por la velocidad de conmutación

Más detalles

Capítulo V Resultados y conclusiones

Capítulo V Resultados y conclusiones Capítulo V Resultados y conclusiones Nadav Levanon, autor del libro Radar Principles dijo: el estudio de los radares no solo una aplicación práctica, pero también una disciplina científica madura con fundamentos

Más detalles

Asignatura: CONTROL CLÁSICO Y MODERNO Departamento de Electrónica Facultad de Ingeniería U.Na.M 2015 GUIA DE LABORATORIO Nº2

Asignatura: CONTROL CLÁSICO Y MODERNO Departamento de Electrónica Facultad de Ingeniería U.Na.M 2015 GUIA DE LABORATORIO Nº2 GUIA DE LABORATORIO Nº2 Universidad Nacional de Misiones MÉTODOS CLÁSICOS PARA MODELACIÓN DE SISTEMAS 1. Objetivo de la práctica. Modelación a través de la Respuesta en frecuencia Este laboratorio tiene

Más detalles

PROBLEMA. Diseño de un DIMMER.

PROBLEMA. Diseño de un DIMMER. PROBLEMA Diseño de un DIMMER. Solución, como las especificaciones vistas en clase fueron muy claras el DIMMER controlara la velocidad de los disparos que se harán en la compuerta de el tiristor, es decir

Más detalles

CAPITULO 4 IMPLEMENTACIÓN Y PRUEBAS EXPERIMENTALES. En este capítulo se mostrarán los resultados de la simulación del Corrector de Factor

CAPITULO 4 IMPLEMENTACIÓN Y PRUEBAS EXPERIMENTALES. En este capítulo se mostrarán los resultados de la simulación del Corrector de Factor CAPITULO 4 IMPLEMENTACIÓN Y PRUEBAS EXPERIMENTALES 4.1 INTRODUCCIÓN En este capítulo se mostrarán los resultados de la simulación del Corrector de Factor de Potencia, la cual fue realizada con el software

Más detalles

C A P Í T U L O 2 CIRCUITOS

C A P Í T U L O 2 CIRCUITOS C A P Í T U L O 2 DIAGRAMAS ESQUEMÁTICOS Y PCB DE LOS CIRCUITOS 2.1. FUENTE DE PODER. Esta fuente de voltaje DC es del tipo de fuentes lineales; es decir utiliza un paso reductor de voltaje haciendo uso

Más detalles

CALIDAD EN TUBOS T8 LED

CALIDAD EN TUBOS T8 LED CALIDAD EN TUBOS T8 LED Realizamos una comparación entre tres tipos de tubo LED, cada uno con diferente calidad; en este documento se explican sus diferencias. T8 120cm -18W Alta Calidad YAPI LED s Para

Más detalles

SONDA LAMBDA DE BANDA ANCHA VEHICULO: SEAT VW AUDI SKODA - OTROS INTRODUCCION: EL PORQUE DE LA SONDA LAMBDA DE BANDA ANCHA SONDA LAMBDA CONVENCIONAL

SONDA LAMBDA DE BANDA ANCHA VEHICULO: SEAT VW AUDI SKODA - OTROS INTRODUCCION: EL PORQUE DE LA SONDA LAMBDA DE BANDA ANCHA SONDA LAMBDA CONVENCIONAL SONDA LAMBDA DE BANDA ANCHA VEHICULO: SEAT VW AUDI SKODA - OTROS INTRODUCCION: Este articulo es sobre pruebas que se han realizado en dos tipos de sondas lambdas de banda ancha, tipo BOSCH y tipo NTK.

Más detalles

UNIDADES FUNCIONALES DEL ORDENADOR TEMA 3

UNIDADES FUNCIONALES DEL ORDENADOR TEMA 3 UNIDADES FUNCIONALES DEL ORDENADOR TEMA 3 INTRODUCCIÓN El elemento hardware de un sistema básico de proceso de datos se puede estructurar en tres partes claramente diferenciadas en cuanto a sus funciones:

Más detalles

Preguntas teóricas de la Clase N 5

Preguntas teóricas de la Clase N 5 Preguntas teóricas de la Clase N 5 1) Respecto a la cadena de amplificación del sistema vertical (eje Y) de un osciloscopio de rayos catódicos (ORC) Qué entiende por: 1. Impedancia de entrada? Componentes

Más detalles

En el presente capítulo se describe el procedimiento seguido para obtener una señal de

En el presente capítulo se describe el procedimiento seguido para obtener una señal de Acondicionamiento y Caracterización del Transformador Diferencial de Variación Lineal 5.1 Introducción En el presente capítulo se describe el procedimiento seguido para obtener una señal de voltaje correspondiente

Más detalles

TRANSDUCTORES CAPACITIVOS

TRANSDUCTORES CAPACITIVOS CLASE 10 -- TRANSDUCTORES CAPACITIVOS Un capacitor o condensador consiste en dos superficies conductivas separadas por un material dieléctrico, el cual puede ser un sólido, líquido, gas o vacío. La capacitancia

Más detalles

ArduLab. 1. Qué te pasa Nerea? 2.Este robot no funciona bien y no sé que le pasa

ArduLab. 1. Qué te pasa Nerea? 2.Este robot no funciona bien y no sé que le pasa 5 ArduLab Nerea Iván 1. Qué te pasa Nerea? 2.Este robot no funciona bien y no sé que le pasa 3. Recuerda que puedes usar Ardulab para comprobar el funcionamiento de todas las partes de un robot sin necesidad

Más detalles

Tutorial de Electrónica

Tutorial de Electrónica Tutorial de Electrónica Introducción Conseguir que la tensión de un circuito en la salida sea fija es uno de los objetivos más importantes para que un circuito funcione correctamente. Para lograrlo, se

Más detalles

Medidas de la tensión de salida en variadores de velocidad con osciloscopios digitales ScopeMeter Serie 190 de Fluke

Medidas de la tensión de salida en variadores de velocidad con osciloscopios digitales ScopeMeter Serie 190 de Fluke Aplicación Medidas de la tensión de salida en variadores de velocidad con osciloscopios digitales ScopeMeter Serie 190 de Fluke Por Viditec La utilización de variadores de velocidad o "inversores de frecuencia"

Más detalles

Tutorial de Electrónica

Tutorial de Electrónica Tutorial de Electrónica La función amplificadora consiste en elevar el nivel de una señal eléctrica que contiene una determinada información. Esta señal en forma de una tensión y una corriente es aplicada

Más detalles

Figura 1 Fotografía de varios modelos de multímetros

Figura 1 Fotografía de varios modelos de multímetros El Multímetro El multímetro ó polímetro es un instrumento que permite medir diferentes magnitudes eléctricas. Así, en general, todos los modelos permiten medir: - Tensiones alternas y continuas - Corrientes

Más detalles

UNIVERSIDAD DE SAN CARLOS DE GUATEMALA FACULTAD DE INGENIERIA ESCUELA DE MECANICA ELECTRICA LABORATORIO DE ELECTRONICA PENSUM COMUNICACIONES 3

UNIVERSIDAD DE SAN CARLOS DE GUATEMALA FACULTAD DE INGENIERIA ESCUELA DE MECANICA ELECTRICA LABORATORIO DE ELECTRONICA PENSUM COMUNICACIONES 3 UNIVERSIDAD DE SAN CARLOS DE GUATEMALA FACULTAD DE INGENIERIA ESCUELA DE MECANICA ELECTRICA LABORATORIO DE ELECTRONICA PENSUM COMUNICACIONES 3 ~ 1 ~ ÍNDICE Introducción...página 3 Prácticas LabVolt...página

Más detalles

DISEÑO E IMPLEMENTACIÓN DE UNA TARJETA DE ADQUISICIÓN DE DATOS PARA EL LABORATORIO DE TELECOMUNICACIONES DE LA FIEC.

DISEÑO E IMPLEMENTACIÓN DE UNA TARJETA DE ADQUISICIÓN DE DATOS PARA EL LABORATORIO DE TELECOMUNICACIONES DE LA FIEC. TESIS DISEÑO E IMPLEMENTACIÓN DE UNA TARJETA DE ADQUISICIÓN DE DATOS PARA EL LABORATORIO DE TELECOMUNICACIONES DE LA FIEC. DIRECTOR DE TESIS.- Ing. Francisco Novillo AUTOR Walter Mestanza Vera. Egresado

Más detalles

MULTIMETRO DIGITAL (MARCA FLUKE. MODELO 87) INTRODUCCIÓN

MULTIMETRO DIGITAL (MARCA FLUKE. MODELO 87) INTRODUCCIÓN MULTIMETRO DIGITAL (MARCA FLUKE. MODELO 87) INTRODUCCIÓN Este es un compacto y preciso multímetro digital de 4 ½ dígitos, opera con batería y sirve para realizar mediciones de voltaje y corriente de C.A.

Más detalles

CAPITULO II CARACTERISTICAS DE LOS INSTRUMENTOS DE MEDICION

CAPITULO II CARACTERISTICAS DE LOS INSTRUMENTOS DE MEDICION CAPITULO II CARACTERISTICAS DE LOS INSTRUMENTOS DE MEDICION Como hemos dicho anteriormente, los instrumentos de medición hacen posible la observación de los fenómenos eléctricos y su cuantificación. Ahora

Más detalles

CAPÍTULO I. Sistemas de Control Distribuido (SCD).

CAPÍTULO I. Sistemas de Control Distribuido (SCD). 1.1 Sistemas de Control. Un sistema es un ente cuya función es la de recibir acciones externas llamadas variables de entrada que a su vez provocan una o varias reacciones como respuesta llamadas variables

Más detalles

Mediciones Eléctricas

Mediciones Eléctricas Mediciones Eléctricas Grupos Electrógenos Mediciones Eléctricas Página 1 de 12 Tabla de Contenido Objetivo 1: Medidas de magnitudes eléctricas... 3 Objetivo 2: Generalidades sobre instrumentos de medición...

Más detalles

Señal de Referencia: Es el valor que se desea que alcance la señal de salida. SET POINT.

Señal de Referencia: Es el valor que se desea que alcance la señal de salida. SET POINT. EL ABC DE LA AUTOMATIZACION ALGORITMO DE CONTROL PID; por Aldo Amadori Introducción El Control automático desempeña un papel importante en los procesos de manufactura, industriales, navales, aeroespaciales,

Más detalles

CONVERTIDORES DIGITAL ANALÓGICO Y ANALÓGICO - DIGITAL

CONVERTIDORES DIGITAL ANALÓGICO Y ANALÓGICO - DIGITAL CONVERTIDORES DIGITAL ANALÓGICO Y ANALÓGICO - DIGITAL CONVERTIDORES DIGITAL ANALÓGICO Las dos operaciones E/S relativas al proceso de mayor importancia son la conversión de digital a analógico D/A y la

Más detalles

Circuito RC, Respuesta a la frecuencia.

Circuito RC, Respuesta a la frecuencia. Circuito RC, Respuesta a la frecuencia. A.M. Velasco (133384) J.P. Soler (133380) O.A. Botina (13368) Departamento de física, facultad de ciencias, Universidad Nacional de Colombia Resumen. Se armó un

Más detalles

Modificación y parametrización del modulo de Solicitudes (Request) en el ERP/CRM Compiere.

Modificación y parametrización del modulo de Solicitudes (Request) en el ERP/CRM Compiere. UNIVERSIDAD DE CARABOBO FACULTAD DE CIENCIA Y TECNOLOGÍA DIRECCION DE EXTENSION COORDINACION DE PASANTIAS Modificación y parametrización del modulo de Solicitudes (Request) en el ERP/CRM Compiere. Pasante:

Más detalles

DE VIDA PARA EL DESARROLLO DE SISTEMAS

DE VIDA PARA EL DESARROLLO DE SISTEMAS MÉTODO DEL CICLO DE VIDA PARA EL DESARROLLO DE SISTEMAS 1. METODO DEL CICLO DE VIDA PARA EL DESARROLLO DE SISTEMAS CICLO DE VIDA CLÁSICO DEL DESARROLLO DE SISTEMAS. El desarrollo de Sistemas, un proceso

Más detalles

PROGRAM ACIÓN DEL CONTROL

PROGRAM ACIÓN DEL CONTROL CAPÍTULO III. PROGRAM ACIÓN DEL CONTROL M EDIANTE LABVIEW. 3.1 Introducción. El código producido para este tipo de software debe ser altamente confiable, muy eficiente, compacto y preciso cuando maneja

Más detalles

Conclusiones, aportaciones y sugerencias para futuros trabajos

Conclusiones, aportaciones y sugerencias para futuros trabajos Capítulo 7 Conclusiones, aportaciones y sugerencias para futuros trabajos En este último capítulo se va a realizar una recapitulación de las conclusiones extraídas en cada uno de los capítulos del presente

Más detalles

Un filtro general de respuesta al impulso finita con n etapas, cada una con un retardo independiente d i y ganancia a i.

Un filtro general de respuesta al impulso finita con n etapas, cada una con un retardo independiente d i y ganancia a i. Filtros Digitales Un filtro general de respuesta al impulso finita con n etapas, cada una con un retardo independiente d i y ganancia a i. En electrónica, ciencias computacionales y matemáticas, un filtro

Más detalles

CAPÍTULO VI PREPARACIÓN DEL MODELO EN ALGOR. En este capítulo, se hablará acerca de los pasos a seguir para poder realizar el análisis de

CAPÍTULO VI PREPARACIÓN DEL MODELO EN ALGOR. En este capítulo, se hablará acerca de los pasos a seguir para poder realizar el análisis de CAPÍTULO VI PREPARACIÓN DEL MODELO EN ALGOR. En este capítulo, se hablará acerca de los pasos a seguir para poder realizar el análisis de cualquier modelo en el software Algor. La preparación de un modelo,

Más detalles

CAPÍTULO 3 Programación en LabVIEW

CAPÍTULO 3 Programación en LabVIEW CAPÍTULO 3 Programación en LabVIEW 3.1 Conexión física de los elementos Para capturar todas las señales provenientes de los sensores se utilizó una tarjeta de adquisición de datos de National Instruments,

Más detalles

Capítulo 5. Cliente-Servidor.

Capítulo 5. Cliente-Servidor. Capítulo 5. Cliente-Servidor. 5.1 Introducción En este capítulo hablaremos acerca de la arquitectura Cliente-Servidor, ya que para nuestra aplicación utilizamos ésta arquitectura al convertir en un servidor

Más detalles

4 Pruebas y análisis del software

4 Pruebas y análisis del software 4 Pruebas y análisis del software En este capítulo se presentan una serie de simulaciones donde se analiza el desempeño de ambos sistemas programados en cuanto a exactitud con otros softwares que se encuentran

Más detalles

by Tim Tran: https://picasaweb.google.com/lh/photo/sdo00o8wa-czfov3nd0eoa?full-exif=true

by Tim Tran: https://picasaweb.google.com/lh/photo/sdo00o8wa-czfov3nd0eoa?full-exif=true by Tim Tran: https://picasaweb.google.com/lh/photo/sdo00o8wa-czfov3nd0eoa?full-exif=true I. FUNDAMENTOS 3. Representación de la información Introducción a la Informática Curso de Acceso a la Universidad

Más detalles

Ahorro de energía visualizando páginas Web en dispositivos móviles heterogéneos

Ahorro de energía visualizando páginas Web en dispositivos móviles heterogéneos ROC&C 06 Ahorro de energía visualizando páginas Web en dispositivos móviles heterogéneos Dr. Juan Gabriel González Serna. M.C. Juan Carlos Olivares Rojas. Acapulco, Guerrero, México, 2006. Agenda Introducción

Más detalles

Base de datos en Excel

Base de datos en Excel Base de datos en Excel Una base datos es un conjunto de información que ha sido organizado bajo un mismo contexto y se encuentra almacenada y lista para ser utilizada en cualquier momento. Las bases de

Más detalles

Tema 3. Medidas de tendencia central. 3.1. Introducción. Contenido

Tema 3. Medidas de tendencia central. 3.1. Introducción. Contenido Tema 3 Medidas de tendencia central Contenido 31 Introducción 1 32 Media aritmética 2 33 Media ponderada 3 34 Media geométrica 4 35 Mediana 5 351 Cálculo de la mediana para datos agrupados 5 36 Moda 6

Más detalles

CAPÍTULO 1 Instrumentación Virtual

CAPÍTULO 1 Instrumentación Virtual CAPÍTULO 1 Instrumentación Virtual 1.1 Qué es Instrumentación Virtual? En las últimas décadas se han incrementado de manera considerable las aplicaciones que corren a través de redes debido al surgimiento

Más detalles

Unidad I. 1.1 Sistemas numéricos (Binario, Octal, Decimal, Hexadecimal)

Unidad I. 1.1 Sistemas numéricos (Binario, Octal, Decimal, Hexadecimal) Unidad I Sistemas numéricos 1.1 Sistemas numéricos (Binario, Octal, Decimal, Hexadecimal) Los computadores manipulan y almacenan los datos usando interruptores electrónicos que están ENCENDIDOS o APAGADOS.

Más detalles

INTRODUCCIÓN A LA INSTRUMENTACIÓN BÁSICA. Nociones básicas sobre el manejo de LOS EQUIPOS DEL LABORATORIO

INTRODUCCIÓN A LA INSTRUMENTACIÓN BÁSICA. Nociones básicas sobre el manejo de LOS EQUIPOS DEL LABORATORIO INTRODUCCIÓN A LA INSTRUMENTACIÓN BÁSICA Esta documentación tiene como objetivo facilitar el primer contacto del alumno con la instrumentación básica de un. Como material de apoyo para el manejo de la

Más detalles

CONCEPTOS DE LA FUERZA

CONCEPTOS DE LA FUERZA CONCEPTOS DE LA FUERZA PAPEL DE LA FUERZA EN EL RENDIMIENTO DEPORTIVO La mejora de la fuerza es un factor importante en todas las actividades deportivas, y en algunos casos determinantes (en el arbitraje

Más detalles

Circuito RL, Respuesta a la frecuencia.

Circuito RL, Respuesta a la frecuencia. Circuito RL, Respuesta a la frecuencia. A.M. Velasco (133384) J.P. Soler (133380) O.A. Botina (133268) Departamento de física, facultad de ciencias, Universidad Nacional de Colombia Resumen. Se estudia

Más detalles

Comparadores de tensión

Comparadores de tensión Universidad Nacional de Rosario Facultad de Ciencias Exactas, Ingeniería y Agrimensura Escuela de Ingeniería Electrónica ELECTRÓNICA II NOTAS DE CLASE Comparadores de tensión OBJETIVOS - CONOCIMIENTOS

Más detalles

Cómo Elegir su Digitalizador o Dispositivo de Adquisición de Datos Correcto

Cómo Elegir su Digitalizador o Dispositivo de Adquisición de Datos Correcto Cómo Elegir su Digitalizador o Dispositivo de Adquisición de Datos Correcto 5 Aspectos Principales a Considerar Arquirectura del Digitalizador/DAQ Ancho de Banda y Razón de Muestreo Resolución y Rango

Más detalles

9) UPS s: EN QUE CONSISTEN DE QUE Y COMO PROTEGEN

9) UPS s: EN QUE CONSISTEN DE QUE Y COMO PROTEGEN 9) UPS s: EN QUE CONSISTEN DE QUE Y COMO PROTEGEN En el mercado actual hay gran cantidad de diseños de UPS. Puede llegar a ser confuso determinar que tipo de equipo es el más conveniente para nuestra carga

Más detalles

TEMA V TEORÍA DE CUADRIPOLOS LINEALES. 5.1.-Introducción. 5.2.-Parámetros de Impedancia a circuito abierto.

TEMA V TEORÍA DE CUADRIPOLOS LINEALES. 5.1.-Introducción. 5.2.-Parámetros de Impedancia a circuito abierto. TEMA V TEORÍA DE CUADRIPOLOS LINEALES 5.1.-Introducción. 5.2.-Parámetros de Impedancia a circuito abierto. 5.3.-Parámetros de Admitancia a cortocircuito. 5.4.-Parámetros Híbridos (h, g). 5.5.-Parámetros

Más detalles

podemos enfocar al funcionamiento del robot, es decir la parte de electrónica. Para que el

podemos enfocar al funcionamiento del robot, es decir la parte de electrónica. Para que el CAPÍTULO 4 Funcionamiento del Robot Después de analizar paso a paso el diseño y funcionamiento de la interfase, nos podemos enfocar al funcionamiento del robot, es decir la parte de electrónica. Para que

Más detalles

INTRODUCCION. Ing. Camilo Zapata czapata@udea.edu.co Universidad de Antioquia

INTRODUCCION. Ing. Camilo Zapata czapata@udea.edu.co Universidad de Antioquia INTRODUCCION. Ing. Camilo Zapata czapata@udea.edu.co Universidad de Antioquia Qué es una Red? Es un grupo de computadores conectados mediante cables o algún otro medio. Para que? compartir recursos. software

Más detalles

CAPITULO 4: LA UPS SOLAR Y SISTEMAS PARECIDOS EN EL MERCADO

CAPITULO 4: LA UPS SOLAR Y SISTEMAS PARECIDOS EN EL MERCADO CAPÍTULO 4 46 CAPITULO 4: LA UPS SOLAR Y SISTEMAS PARECIDOS EN EL MERCADO 4.1 Introducción Este es el capítulo donde se presenta el proyecto, es decir, la UPS Solar que se ha diseñado junto con su explicación.

Más detalles

SOMI XVIII Congreso de Instrumentación MICROONDAS JRA1878 TRANSMISIÓN DE AUDIO Y VIDEO A TRAVÉS DE FIBRA ÓPTICA CON PREMODULACIÓN PCM

SOMI XVIII Congreso de Instrumentación MICROONDAS JRA1878 TRANSMISIÓN DE AUDIO Y VIDEO A TRAVÉS DE FIBRA ÓPTICA CON PREMODULACIÓN PCM TRANSMISIÓN DE AUDIO Y VIDEO A TRAVÉS DE FIBRA ÓPTICA CON PREMODULACIÓN PCM J. Rodríguez-Asomoza, D. Báez-López, E. López-Pillot. Universidad de las Américas, Puebla (UDLA-P) Departamento de Ingeniería

Más detalles

Inversores De Frecuencia

Inversores De Frecuencia Inversores De Frecuencia QUÉ ES UN INVERSOR? Un inversor es un control para motores, que hace variar la velocidad a motores C.A. De inducción. Esta variación la logra variando la frecuencia de alimentación

Más detalles

PRINCIPIOS DE FUNCIONAMIENTO.

PRINCIPIOS DE FUNCIONAMIENTO. SENSORES INDUCTIVOS PRINCIPIOS DE FUNCIONAMIENTO. El objetivo de esta sección es conocer que son los sensores de Proximidad Inductivos y cuál es su principio de funcionamiento. OBJETIVO Al término de esta

Más detalles

Capítulo I. Convertidores de CA-CD y CD-CA

Capítulo I. Convertidores de CA-CD y CD-CA Capítulo I. Convertidores de CA-CD y CD-CA 1.1 Convertidor CA-CD Un convertidor de corriente alterna a corriente directa parte de un rectificador de onda completa. Su carga puede ser puramente resistiva,

Más detalles

Objetivo. Desarrollo. Práctica 6 Multiplexado. Sección 1 Estudio del comportamiento de un circuito sample and hold

Objetivo. Desarrollo. Práctica 6 Multiplexado. Sección 1 Estudio del comportamiento de un circuito sample and hold Autor: Pedro I. López Contacto: dreilopz@gmail.com www.dreilopz.me Licencia: Creative Commons Attribution 3.0 Unported (CC BY 3.0 http://creativecommons.org/licenses/by/3.0/) Fecha: Febrero 2012. En ninguna

Más detalles

Medida de magnitudes mecánicas

Medida de magnitudes mecánicas Medida de magnitudes mecánicas Introducción Sensores potenciométricos Galgas extensiométricas Sensores piezoeléctricos Sensores capacitivos Sensores inductivos Sensores basados en efecto Hall Sensores

Más detalles

Instrumentos y aparatos de medida: Medida de intensidad, tensión y resistencia

Instrumentos y aparatos de medida: Medida de intensidad, tensión y resistencia Instrumentos y aparatos de medida: Medida de intensidad, tensión y resistencia Podemos decir que en electricidad y electrónica las medidas que con mayor frecuencia se hacen son de intensidad, tensión y

Más detalles

DESCRIPCION DEL SITEMA MASTER.

DESCRIPCION DEL SITEMA MASTER. DESCRIPCION DEL SITEMA MASTER. ESTRUCTURA. El sistema MASTER (Sistema Modular para Control Adaptativo en Tiempo Real) se ha implementado en base a un computador compatible PC-AT, dotado de una tarjeta

Más detalles

V i s i t a V i r t u a l e n e l H o s p i t a l

V i s i t a V i r t u a l e n e l H o s p i t a l V i s i t a V i r t u a l e n e l H o s p i t a l Manual de Restauración del PC Septiembre 2011 TABLA DE CONTENIDOS SOBRE EL SOFTWARE... 3 CONSIDERACIONES ANTES DE RESTAURAR... 4 PROCEDIMIENTO DE RECUPERACION...

Más detalles

Metodologías de diseño de hardware

Metodologías de diseño de hardware Capítulo 2 Metodologías de diseño de hardware Las metodologías de diseño de hardware denominadas Top-Down, basadas en la utilización de lenguajes de descripción de hardware, han posibilitado la reducción

Más detalles

1.2 SISTEMAS DE PRODUCCIÓN

1.2 SISTEMAS DE PRODUCCIÓN 19 1.2 SISTEMAS DE PRODUCCIÓN Para operar en forma efectiva, una empresa manufacturera debe tener sistemas que le permitan lograr eficientemente el tipo de producción que realiza. Los sistemas de producción

Más detalles

CONTROL DE TEMPERATURA

CONTROL DE TEMPERATURA CONTROL DE TEMPERATURA 1.- OBJETIVO.- El objetivo de este trabajo es controlar la temperatura de un sistema ( Puede ser una habitación), usando un control por Histeresis. 2.- INTRODUCCION.- Como podríamos

Más detalles

Inteligencia artificial: todo comienza con una neurona.

Inteligencia artificial: todo comienza con una neurona. MEMORIAS DEL SEGUNDO CONCURSO DE INVESTIGACIÓN, DESARROLLO E INNOVACIÓN TECNOLÓGICA IDIT 2013 Inteligencia artificial: todo comienza con una neurona. Sandra Belén Bejarano Jiménez, Sofía Monserrat Pérez

Más detalles

ANTENAS: Teledistribución y televisión por cable

ANTENAS: Teledistribución y televisión por cable 5.1 INTRODUCCIÓN A LA TELEDISTRIBUCIÓN La teledistribución o CATV, podemos considerarla como una gran instalación colectiva, con algunos servicios adicionales que puede soportar y que conectará por cable

Más detalles

TABLA DE DECISION. Consideremos la siguiente tabla, expresada en forma genérica, como ejemplo y establezcamos la manera en que debe leerse.

TABLA DE DECISION. Consideremos la siguiente tabla, expresada en forma genérica, como ejemplo y establezcamos la manera en que debe leerse. TABLA DE DECISION La tabla de decisión es una herramienta que sintetiza procesos en los cuales se dan un conjunto de condiciones y un conjunto de acciones a tomar según el valor que toman las condiciones.

Más detalles

La importancia de dimensionar correctamente los sistemas de frenado en aerogeneradores residenciales.

La importancia de dimensionar correctamente los sistemas de frenado en aerogeneradores residenciales. La importancia de dimensionar correctamente los sistemas de frenado en aerogeneradores residenciales. La instalación de aerogeneradores en entornos urbanos requiere la implementación de importantes medidas

Más detalles

MEDICIÓN Y AJUSTE DE LOS SISTEMAS DE REFUERZO SONORO

MEDICIÓN Y AJUSTE DE LOS SISTEMAS DE REFUERZO SONORO MEDICIÓN Y AJUSTE DE LOS SISTEMAS DE REFUERZO SONORO POR QUÉ ES NECESARIO MEDIR? QUÉ CONOCEMOS AL MEDIR UN SISTEMA DE AUDIO? QUÉ PARÁMETROS PODEMOS AJUSTAR? TIPOS DE MEDICIONES DE UN SOLO CANAL DE DOBLE

Más detalles

La explicación la haré con un ejemplo de cobro por $100.00 más el I.V.A. $16.00

La explicación la haré con un ejemplo de cobro por $100.00 más el I.V.A. $16.00 La mayor parte de las dependencias no habían manejado el IVA en los recibos oficiales, que era el documento de facturación de nuestra Universidad, actualmente ya es formalmente un CFD pero para el fin

Más detalles

DISCOS RAID. Se considera que todos los discos físicos tienen la misma capacidad, y de no ser así, en el que sea mayor se desperdicia la diferencia.

DISCOS RAID. Se considera que todos los discos físicos tienen la misma capacidad, y de no ser así, en el que sea mayor se desperdicia la diferencia. DISCOS RAID Raid: redundant array of independent disks, quiere decir conjunto redundante de discos independientes. Es un sistema de almacenamiento de datos que utiliza varias unidades físicas para guardar

Más detalles

Los servicios que presta Internet. RETO: Conocer y utilizar los servicios que nos ofrece Internet.

Los servicios que presta Internet. RETO: Conocer y utilizar los servicios que nos ofrece Internet. Ciclo V - Informática. Guía # 2 Los servicios que presta Internet RETO: Conocer y utilizar los servicios que nos ofrece Internet. Correo Electrónico. Chat. FTP. Foros. Mensajería. Protocolo. Breve introducción

Más detalles

Grabación de sonido. Realizado por: Alejandro Martín Daza Manuel Romero Aranda

Grabación de sonido. Realizado por: Alejandro Martín Daza Manuel Romero Aranda Grabación de sonido Realizado por: Alejandro Martín Daza Manuel Romero Aranda Desarrollo histórico Antes del siglo XIX Hermanos Banū Mūsā: mecanismos propulsados por agua (S. IX) Organillos (S XV) Cajas

Más detalles

SISTEMA DE RECTIFICACIÓN TIPO PUENTE Y FILTRADO

SISTEMA DE RECTIFICACIÓN TIPO PUENTE Y FILTRADO SISTEMA DE RECTIFICACIÓN TIPO PUENTE Y FILTRADO I. OBJETIVOS Analizar componentes. Montaje del circuito. Análisis de CA y CD. Sistema de rectificación tipo fuente. Filtraje. Uso del osciloscopio. Gráfico

Más detalles

Introducción a la Firma Electrónica en MIDAS

Introducción a la Firma Electrónica en MIDAS Introducción a la Firma Electrónica en MIDAS Firma Digital Introducción. El Módulo para la Integración de Documentos y Acceso a los Sistemas(MIDAS) emplea la firma digital como método de aseguramiento

Más detalles

1ª PARTE: MEDIDA DE LA VELOCIDAD DE CONDUCCIÓN EN EL NERVIO CUBITAL.

1ª PARTE: MEDIDA DE LA VELOCIDAD DE CONDUCCIÓN EN EL NERVIO CUBITAL. 1 1ª PARTE: MEDIDA DE LA VELOCIDAD DE CONDUCCIÓN EN EL NERVIO CUBITAL. INTRODUCCIÓN La velocidad de conducción de un nervio es la velocidad a la que se propagan los potenciales de acción por los axones

Más detalles

Usos de un Analizador de Respuesta en Frecuencia

Usos de un Analizador de Respuesta en Frecuencia Usos de un Analizador de Respuesta en Frecuencia La respuesta en frecuencia es la medida del espectro de salida de un sistema en respuesta a un estímulo. El análisis de respuesta en frecuencia mide la

Más detalles

Notas para la instalación de un lector de tarjetas inteligentes.

Notas para la instalación de un lector de tarjetas inteligentes. Notas para la instalación de un lector de tarjetas inteligentes. Índice 0. Obtención de todo lo necesario para la instalación. 3 1. Comprobación del estado del servicio Tarjeta inteligente. 4 2. Instalación

Más detalles

4.2 Acción de Control.

4.2 Acción de Control. CAPÍTULO IV. PRUEBAS Y RESULTADOS. 4.1 Introducción. En este capítulo se exponen los resultados obtenidos después de efectuar las pruebas sobre el programa Control de Movimiento Empleando LabVIEW, que

Más detalles

Diseño electrónico de relés de protección para minicentrales hidroeléctricas

Diseño electrónico de relés de protección para minicentrales hidroeléctricas Luminotecnia ENTREGA 1 Diseño electrónico de relés de protección para minicentrales hidroeléctricas Elaborado por: Ing. Avid Román González (IEEE) Sabiendo que en la región del Cusco (Perú) existen muchas

Más detalles

Adquisición de Datos usando Matlab

Adquisición de Datos usando Matlab 21 Adquisición de Datos usando Matlab Bruno Vargas Tamani Facultad de Ingeniería Electrónica y Eléctrica, Universidad Nacional Mayor de San Marcos, Lima, Perú RESUMEN: La interconexión a nivel de computadoras

Más detalles

Conoce los Tipos de Hosting que Existen y Elige el Mejor para tus Necesidades

Conoce los Tipos de Hosting que Existen y Elige el Mejor para tus Necesidades Conoce los Tipos de Hosting que Existen y Elige el Mejor para tus Necesidades A veces me preguntan acerca de las diferencias entre muchos tipos de servicios de hospedaje web, y pensé que traería muchos

Más detalles

Generación y Transmisión de señales en las Neuronas

Generación y Transmisión de señales en las Neuronas Generación y Transmisión de señales en las Neuronas Los detalle de la transmisión sináptica (comunicación entre neuronas) se explicará en el siguiente capítulo. En éste trataremos de los cambios intraneuronal:

Más detalles

Guía de uso del Cloud Datacenter de acens

Guía de uso del Cloud Datacenter de acens guíasdeuso Guía de uso del Cloud Datacenter de Calle San Rafael, 14 28108 Alcobendas (Madrid) 902 90 10 20 www..com Introducción Un Data Center o centro de datos físico es un espacio utilizado para alojar

Más detalles

Una vez descrita la constitución general de un robot, podemos empezar con la

Una vez descrita la constitución general de un robot, podemos empezar con la CAPÍTULO 2 Construcción y Mecanismo de Operación del Brazo Robótico Una vez descrita la constitución general de un robot, podemos empezar con la descripción de nuestro robot, cómo fue construido y cómo

Más detalles