Lógica Secuencial. Circuitos Digitales, 2º de Ingeniero de Telecomunicación ETSIT ULPGC

Tamaño: px
Comenzar la demostración a partir de la página:

Download "Lógica Secuencial. Circuitos Digitales, 2º de Ingeniero de Telecomunicación ETSIT ULPGC"

Transcripción

1 Lógica Secuencial Circuitos Digitales, 2º de Ingeniero de Telecomunicación ETSIT ULPGC

2 Componentes secuenciales Contienen elementos de memoria Los valores de sus salidas dependen de los valores en sus entradas y de los valores almacenados en los elementos de memoria Los valores almacenados en los elementos de memoria definen el estado del circuito secuencial Ejemplo: contestador telefónico que responde tras cuatro timbres de llamada

3 Componentes secuenciales Los componentes secuenciales se dividen en: Asíncronos Su salida y su estado se puede alterar en cuanto cambien los valores de sus entradas Síncronos Su salida y su estado se alteran, si acaso, sólo en determinados instantes definidos a partir de una señal de reloj

4 Señal de reloj Período de reloj Tiempo entre transiciones sucesivas en la misma dirección Frecuencia de reloj Inversa del período de reloj

5 Señal de reloj Ancho del pulso Intervalo de tiempo en el que la señal de reloj vale 1 Rendimiento de ciclo Relación entre el ancho del pulso (lo que está la señal a 1) y el período

6 Señal de reloj Circuito activo a nivel alto Si reacciona ante la señal de reloj a valor 1 Circuito activo a nivel bajo Si reacciona ante la señal de reloj a valor 0 Circuito activo por flanco de subida Si reacciona ante la transición de la señal de reloj de 0 a 1 Circuito activo por flanco de bajada Si reacciona ante la transición de la señal de reloj de 1 a 0

7 Báscula (o latch) RS implementación con NOR Dos estados del latch : Estado de set (con Q = 1) Estado de reset (con Q = 0) Esquemático

8 Báscula (o latch) RS implementación con NOR Tabla de verdad Esquemático

9 Báscula (o latch) RS implementación con NOR Cronograma (diagrama de tiempo)

10 Báscula (o latch) RS implementación con NAND Tabla de verdad Esquemático

11 Báscula (o latch) RS implementación con NAND Cronograma (diagrama de tiempo)

12 Latch RS sincronizado Símbolo Esquemático

13 Latch RS sincronizado

14 Latch RS sincronizado Cronograma

15 Latch D sincronizado Símbolo Esquemático

16 Latch D sincronizado

17 Latch D sincronizado Cronograma

18 Flip-fliops Los latches son sensibles al nivel Responden a los cambios en la entrada durante el pulso del reloj Los flip-flops responden a los cambios en la entrada sólo en los cambios de la señal de reloj Es más seguro trabajar con éstos, aunque son más caros Los hay de dos tipos: maestro-esclavo y disparados por flanco

19 Desplazamiento erróneo con latches tipo D Con latches sensibles al nivel, se puede producir funcionamiento erróneo Esquemático

20 Desplazamiento erróneo con latches tipo D

21 Flip-flop maestro-esclavo En un flip-flop maestro-esclavo la entrada D se muestrea y se almacena su valor en en flanco de subida de la señal Clk Esquemático

22 Flip-flop maestro-esclavo

23 Desplazamiento con flip-flops maestro-esclavo

24 Desplazamiento con flip-flops maestro-esclavo

25 Flip-flops disparados por flanco Esquemático

26 Flip-flops disparados por flanco

27 Tipos de flip-flops

28 Tipos de flip-flops

29 Diagramas de estados de los flip-flops

30 Diagramas de estados de los flip-flops

31 Latch con entradas asíncronas Símbolo Esquemático

32 Flip-flop con entradas asíncronas Símbolo Esquemático

33 Símbolos gráficos de flip-flops con entradas asíncronas

34 Análisis de lógica secuencial Se comienza identificando qué hay en las entradas de los flip-flops: Se suelen expresar de forma algebraica, y se llaman las ecuaciones de excitación Conocido el tipo de flip-flop y conocidas las funciones que definen el valor de sus entradas... Se pueden escribir las ecuaciones de estado siguiente y salidas.

35 Análisis de lógica secuencial Con las ecuaciones de estado siguiente y salidas se puede conocer cuál es el estado siguiente y las salidas para cada estado y entrada posibles: Se organizan en una tabla de estado siguiente y salidas La misma información que en estas tablas se puede expresar de forma gráfica con un diagrama de estados

36 Análisis de lógica secuencial El diagrama de estados permite identificar de forma clara y completa el funcionamiento de un circuito secuencial Opcionalmente se emplean cronogramas (o diagramas de tiempo ) para ver el funcionamiento ante un caso de estudio

37 Análisis de un circuito secuencial Ecuaciones de excitación Ecuaciones de estado siguiente

38 Análisis de un circuito secuencial Tabla de estado siguiente Diagrama de estados

39 Análisis de un circuito secuencial Cronograma

40 Análisis de un circuito secuencial de tipo Moore Ecuaciones de excitación Ecuaciones de estado siguiente y salida

41 Análisis de un circuito secuencial de tipo Moore Tabla de estado siguiente y salida Diagrama de estados

42 Análisis de un circuito secuencial de tipo Moore Cronograma

43 Análisis de un circuito secuencial de tipo Mealy Ecuaciones de excitación Ecuaciones de estado siguiente y salida

44 Análisis de un circuito secuencial de tipo Mealy Tabla de estado siguiente y salida Diagrama de estados

45 Análisis de un circuito secuencial de tipo Mealy Cronograma

46 Modelo de máquina de estados finitos (FSM)

47 Modelo de máquina de estados finitos (FSM)

48 Implementaciones de FSMs De tipo Moore

49 Implementaciones de FSMs De tipo Mealy

50 Diagrama de estados de un contador módulo 3 asc./desc. Diseñar un contador asc./desc. módulo 3. El contador debe tener dos entradas: orden de cuenta (C) y dirección de cuenta (D). Cuando C=1 el contador contará en la dirección indicada por D y dejará de contar cuando C=0. El contador contará hacia adelante con D=0 y hacia atrás con D=1. El contador debe tener una salida Y que se pondrá a 1 cuando el contador vaya a alcanzar el valor 2 mientras cuenta hacia atrás o cuando vaya a alcanzar el valor 0 mientras cuenta hacia adelante.

51 Diagrama de estados de un contador módulo 3 asc./desc.

52 Diagrama de estados de un contador módulo 3 asc./desc.

53 Diagrama de estados de un contador módulo 3 asc./desc.

54 Diagrama de estados de un contador módulo 3 asc./desc.

55 Minimización de estados La minimización de estados reduce el número de estados y, por ello, el número de flip-flops necesarios. Se basa en el concepto de equivalencia de comportamiento: Dos FSMs son equivalentes si producen la misma secuencia de símbolos de salida para cada secuencia de símbolos de entrada

56 Minimización de estados si y sk de una misma FSM son equivalentes si sk si y sólo si ambos estados si y sk producen el mismo símbolo de salida para cada símbolo de entrada i : h (sj,i ) = h (sk, i ) los estados siguientes para cada símbolo de entrada i son equivalentes: f (sj,i ) f (sk,i )

57 Minimización de estados Proceso de minimización Particionar los estados en clases de equivalencia Construir una nueva FSM con un estado por cada clase de equivalencia

58 Reducción de estados para el contador módulo 3

59 Reducción de estados para el contador módulo 3

60 Reducción de estados para el contador módulo 3

61 Reducción de estados para el contador módulo 3

62 Codificación de estados

63 Codificación de mínimo cambio de bits Los códigos se asignan de forma que el número de cambios de bits sea el mínimo en el total de transiciones Si a cada arco del diagrama de estados se le da como peso el número de cambio de bits en la transición, los códigos se eligen de forma que la suma de todos los pesos sea la menor

64 Codificación de mínimo cambio de bits Codificación directa Codificación de mínimo cambio de bits

65 Codificación de prioridad en la adyacencia En esta codificación se asignan codificaciones de la menor distancia posible a los estados con una fuente común, un destino común y una misma salida

66 Codificación de prioridad en la adyacencia Al asignar los códigos: la mayor prioridad es para estados con un mismo estado siguiente la segunda prioridad es para los estados siguientes de un mismo estado la tercera prioridad es para los estados que tienen las mismas salidas para las mismas entradas

67 Codificación de prioridad en la adyacencia Prioridades por adyacencia Diagrama de estados inicial

68 Codificación de prioridad en la adyacencia Posible codificación

69 Codificación one-hot Es una codificación en la que en todos los códigos sólo hay un 1 El número de bits de los códigos es igual al número de estados La posición del 1 identifica al estado Es una codificación cara para FSMs con muchos estados Se emplea para hacer FSMs más rápidas

70 Reducción de estados para el contador módulo 3 Tabla de estado siguiente y salida codificada

71 Tablas de excitación (recordatorio)

72 Ecuaciones de excitación (para flip-flops RS)

73 Ecuaciones de excitación (para flip-flops JK)

74 Ecuaciones de excitación (para flip-flops T)

75 Ecuaciones de excitación (para flip-flops D)

76 Implementación con flip-flops D

77 Ejemplo de funcionamiento de implementación con flip-flops D

Circuitos Secuenciales: concepto de estado

Circuitos Secuenciales: concepto de estado Lógica Secuencial Circuitos Secuenciales: concepto de estado En los sistemas secuenciales la salida Z en un determinado instante de tiempo t i depende de X en ese mismo instante de tiempo t i y en todos

Más detalles

Sistemas Secuenciales

Sistemas Secuenciales Electrónica Básica Sistemas Secuenciales Electrónica Digital José Ramón Sendra Sendra Dpto. de Ingeniería Electrónica y Automática ULPGC CIRCUITOS SECUENCIALES Combinacional: las salidas dependen de las

Más detalles

Tema 7. SISTEMAS SECUENCIALES SISTEMAS SECUENCIALES SÍNCRONOS

Tema 7. SISTEMAS SECUENCIALES SISTEMAS SECUENCIALES SÍNCRONOS Fundamentos de Computadores. Sistemas Secuenciales. T7-1 INDICE: Tema 7. SISTEMAS SECUENCIALES INTRODUCCIÓN SISTEMAS SECUENCIALES SÍNCRONOS TIPOS DE BIESTABLES o TABLAS DE ECITACIÓN DE LOS BIESTABLES o

Más detalles

Departamento de Tecnología Electrónica Universidad de Sevilla. Circuitos Electrónicos Digitales. Análisis y diseño de circuitos secuenciales

Departamento de Tecnología Electrónica Universidad de Sevilla. Circuitos Electrónicos Digitales. Análisis y diseño de circuitos secuenciales Circuitos Electrónicos Digitales Análisis y diseño de circuitos secuenciales Contenidos 1.Introducción 2.Biestables 3.Máquinas de estados finitos y circuitos secuenciales síncronos (CSS) 4.Diseño de CSS

Más detalles

TEMA 3: Control secuencial

TEMA 3: Control secuencial TEMA 3: Control secuencial Esquema: Índice de contenido TEMA 3: Control secuencial...1 1.- Introducción...1 2.- Biestables...3 2.1.- Biestables asíncronos: el Biestable RS...4 2.1.1.- Biestable RS con

Más detalles

TEMA - 3 LÓGICA SECUENCIAL. REGISTROS DE DESPLAZAMIENTO Y CONTADORES. 1.- Introducción.

TEMA - 3 LÓGICA SECUENCIAL. REGISTROS DE DESPLAZAMIENTO Y CONTADORES. 1.- Introducción. T-3 Lógica ecuencial. egistros de Desplazamiento y Contadores TEMA - 3 LÓGICA ECUENCIAL. EGITO DE DEPLAZAMIENTO Y CONTADOE..- Introducción. Hemos visto que en la lógica combinacional las salidas están

Más detalles

Figura 1: Símbolo lógico de un flip-flop SR

Figura 1: Símbolo lógico de un flip-flop SR FLIP-FLOPS Los circuitos lógicos se clasifican en dos categorías. Los grupos de puertas descritos hasta ahora, y los que se denominan circuitos lógicos secuenciales. Los bloques básicos para construir

Más detalles

Tema 14: Sistemas Secuenciales

Tema 14: Sistemas Secuenciales Tema 14: Sistemas Secuenciales Objetivos: (CONTADORES) Introducción. Características de los contadores. Contadores Asíncronos. Contadores Síncronos. 1 INTRODUCCIÓN Los contadores son sistemas secuenciales

Más detalles

TEMA 1 INTRODUCCIÓN A LOS SISTEMAS DIGITALES

TEMA 1 INTRODUCCIÓN A LOS SISTEMAS DIGITALES TEMA 1 INTRODUCCIÓN A LOS SISTEMAS DIGITALES Exponer los conceptos básicos de los fundamentos de los Sistemas Digitales. Asimilar las diferencias básicas entre sistemas digitales y sistemas analógicos.

Más detalles

CIRCUITOS SECUENCIALES

CIRCUITOS SECUENCIALES LABORATORIO # 7 Realización: 16-06-2011 CIRCUITOS SECUENCIALES 1. OBJETIVOS Diseñar e implementar circuitos utilizando circuitos multivibradores. Comprender los circuitos el funcionamiento de los circuitos

Más detalles

sistemas secuenciales

sistemas secuenciales 16. Análisis y diseño o de sistemas secuenciales Oliverio J. Santana Jaria Sistemas Digitales Ingeniería Técnica en Informática de Sistemas Curso 2006 2007 Introducción No resulta fácil deducir el funcionamiento

Más detalles

PRÁCTICA 4. CONTADORES

PRÁCTICA 4. CONTADORES PRÁCTICA 4. CONTADORES 1. Objetivo En esta práctica se va a estudiar el funcionamiento de los circuitos secuenciales, tomando como ejemplo varios contadores. 2. Material necesario La práctica se realizará

Más detalles

TEMA7. SISTEMAS SECUENCIALES

TEMA7. SISTEMAS SECUENCIALES Sistemas Secuenciales 1 TEMA7. SISTEMAS SECUENCIALES Los circuitos lógicos se clasifican en dos tipos: Combinacionales, aquellos cuyas salidas sólo dependen de las entradas actuales. Secuenciales, aquellos

Más detalles

Latches, flipflops y registros

Latches, flipflops y registros Departamento de Electrónica Electrónica Digital Latches, flipflops y registros Facultad de Ingeniería Bioingeniería Universidad Nacional de Entre Ríos Circuitos secuenciales 1 Circuitos secuenciales Salida

Más detalles

FLIP FLOPS PRÁCTICA 8

FLIP FLOPS PRÁCTICA 8 FLIP FLOPS PRÁCTICA 8 Objetivos 1. Presentar el funcionamiento de los circuitos secuenciales llamados flip-flops, capaces de memorizar un evento de entrada. 2. Presentar nuevos tipos de flip-flops que

Más detalles

INSTITUTO POLITÉCNICO NACIONAL ESCUELA SUPERIOR DE INGENIERIA MECANICA Y ELECTRICA INGENIERIA EN COMUNICACIONES Y ELECTRÓNICA ACADEMIA DE COMPUTACIÓN

INSTITUTO POLITÉCNICO NACIONAL ESCUELA SUPERIOR DE INGENIERIA MECANICA Y ELECTRICA INGENIERIA EN COMUNICACIONES Y ELECTRÓNICA ACADEMIA DE COMPUTACIÓN INSTITUTO POLITÉCNICO NACIONAL ESCUELA SUPERIOR DE INGENIERIA MECANICA Y ELECTRICA UNIDAD CULHUACAN INGENIERIA EN COMUNICACIONES Y ELECTRÓNICA ACADEMIA DE COMPUTACIÓN LABORATORIO DE CIRCUITOS DIGITALES

Más detalles

SISTEMAS DIGITALES MÉTODOS DE DISEÑO LDD 2007-08 1. eman ta zabal zazu

SISTEMAS DIGITALES MÉTODOS DE DISEÑO LDD 2007-08 1. eman ta zabal zazu SISTEMAS DIGITALES MÉTODOS DE DISEÑO LDD 2007-08 1 SISTEMAS DIGITALES MÉTODOS DE DISEÑO Revisión de la metodología de diseño de sistemas digitales síncronos. Métodos de implementación de unidades de control:

Más detalles

GUIAS ÚNICAS DE LABORATORIO DIAGRAMA DE ESTADOS AUTOR: ALBERTO CUERVO SANTIAGO DE CALI UNIVERSIDAD SANTIAGO DE CALI DEPARTAMENTO DE LABORATORIOS

GUIAS ÚNICAS DE LABORATORIO DIAGRAMA DE ESTADOS AUTOR: ALBERTO CUERVO SANTIAGO DE CALI UNIVERSIDAD SANTIAGO DE CALI DEPARTAMENTO DE LABORATORIOS GUIAS ÚNICAS DE LABORATORIO DIAGRAMA DE ESTADOS AUTOR: ALBERTO CUERVO SANTIAGO DE CALI UNIVERSIDAD SANTIAGO DE CALI DEPARTAMENTO DE LABORATORIOS DIAGRAMA DE ESTADOS OBJETIVO El diseño de un circuito secuencial

Más detalles

CIRCUITOS SECUENCIALES. Tema 6: ANÁLISIS Y DISEÑO DE CIRCUITOS SECUENCIALES SÍNCRONOS

CIRCUITOS SECUENCIALES. Tema 6: ANÁLISIS Y DISEÑO DE CIRCUITOS SECUENCIALES SÍNCRONOS 3 Tema 6: ANÁLII Y IEÑO E CIRCUITO ECUENCIALE ÍNCRONO Contenido: Elementos de memoria: biestables asíncronos y síncronos. Biestables JK, T,. Entradas asíncronas. Modelo general de máuina secuencial: máuinas

Más detalles

Registros de desplazamiento

Registros de desplazamiento Registros de desplazamiento Definición de registro de desplazamiento básico Tipos de registro de desplazamiento Configuraciones específicas Aplicaciones más típicas VHDL Ejercicio propuestos Definición

Más detalles

Práctica 4: CONTADORES

Práctica 4: CONTADORES Práctica 4: CONTADOES Introducción Biestables Son circuitos que tienen dos estados estables. Cada estado puede permanecer de forma indefinida. Son circuitos con memoria Clasificación: Asíncronos: no necesitan

Más detalles

DISEÑO CURRICULAR ELECTRÓNICA DIGITAL

DISEÑO CURRICULAR ELECTRÓNICA DIGITAL DISEÑO CURRICULAR ELECTRÓNICA DIGITAL FACULTAD (ES) CARRERA (S) Ingeniería Computación y Sistemas. CÓDIGO HORAS TEÓRICAS HORAS PRÁCTICAS UNIDADES DE CRÉDITO SEMESTRE 116243 02 02 03 VI PRE-REQUISITO ELABORADO

Más detalles

Circuitos secuenciales

Circuitos secuenciales UNIDAD 6 Circuitos secuenciales Introducción a la unidad En los capítulos anteriores hemos manejado los elementos básicos que conforman un sistema digital. Por un lado el manejo binario de la información

Más detalles

Tipos de sistemas digitales: Sistemas combinacionales: las variables de salida dependen en todo instante de los valores de las variables de entrada.

Tipos de sistemas digitales: Sistemas combinacionales: las variables de salida dependen en todo instante de los valores de las variables de entrada. INTRODUCCIÓN A SISTEMAS DIGITALES Niveles de diseño: Nivel de arquitectura: identifica elementos de mayor nivel (CPU, memoria, periféricos, etc.) Nivel lógico: estructura interna de los componentes definidos

Más detalles

EIE SISTEMAS DIGITALES Tema 7: Latches, Flip-Flops y Temporizadores. Nombre del curso: Sistemas Digitales Nombre del docente: Héctor Vargas

EIE SISTEMAS DIGITALES Tema 7: Latches, Flip-Flops y Temporizadores. Nombre del curso: Sistemas Digitales Nombre del docente: Héctor Vargas EIE 446 - SISTEMAS DIGITALES Tema 7: Latches, Flip-Flops y Temporizadores Nombre del curso: Sistemas Digitales Nombre del docente: Héctor Vargas OBJETIVOS DE LA UNIDAD Utilizar puertas lógicas para construir

Más detalles

PROGRAMA DE CURSO Modelo 2009

PROGRAMA DE CURSO Modelo 2009 REQUISITOS: HORAS: 3 Horas a la semana CRÉDITOS: PROGRAMA(S) EDUCATIVO(S) QUE LA RECIBE(N): IETRO PLAN: 2009 FECHA DE REVISIÓN: Mayo de 2011 Competencia a la que contribuye el curso. DEPARTAMENTO: Departamento

Más detalles

LATCHES, FLIP FLOPS, CONTADORES Y REGISTROS

LATCHES, FLIP FLOPS, CONTADORES Y REGISTROS PONIFICIA UNIVEIA CAÓLICA EL PEÚ EUIO GENEALE CIENCIA ANIEL LLAMOCCA LACE, FLIP FLOP, CONAOE Y EGIO e empieza describiendo el Latch con habilitador y la derivación del Latch tipo a partir del Latch. Posteriormente

Más detalles

Otra importante división de los circuitos secuenciales es entre sincronos y asíncronos

Otra importante división de los circuitos secuenciales es entre sincronos y asíncronos 1. CIRCUITOS SECUENCIALES 1.1. Definición, características y constitución de los circuitos secuenciales Los circuitos secuénciales, de la misma forma que los combinacionales, están constituidos por puertas

Más detalles

Un contador es un circuito secuencial que genera una secuencia ordenada de salidas que se repite en el tiempo. La salida coincide con el estado de

Un contador es un circuito secuencial que genera una secuencia ordenada de salidas que se repite en el tiempo. La salida coincide con el estado de CONTADORES Un contador es un circuito secuencial que genera una secuencia ordenada de salidas que se repite en el tiempo. La salida coincide con el estado de sus biestables. Los contadores son circuitos

Más detalles

Tema 5: Sistemas secuenciales

Tema 5: Sistemas secuenciales Tema 5: Circuitos secuenciales 5.1 Introducción: tablas de transición, cronogramas. Hemos visto como en los circuitos combinacionales, las salidas sólo dependen de las entradas en el mismo instante de

Más detalles

FACULTAD DE INGENIERÍAS Y ARQUITECTURA ESCUELA ACADÉMICO PROFESIONAL DE INGENIERÍA ELECTRÓNICA Y TELECOMUNICACIONES : SISTEMAS DIGITALES I SÍLABO

FACULTAD DE INGENIERÍAS Y ARQUITECTURA ESCUELA ACADÉMICO PROFESIONAL DE INGENIERÍA ELECTRÓNICA Y TELECOMUNICACIONES : SISTEMAS DIGITALES I SÍLABO I.-DATOS GENERALES SÍLABO CARRERA PROFESIONAL : INGENIERÍA ELECTRÓNICA Y CÓDIGO CARRERA PROFESIONAL : 29 ASIGNATURA : CÓDIGO DE ASIGNATURA : 2902-29213 CÓDIGO DE SÍLABO : 2921330072014 Nº DE HORAS TOTALES

Más detalles

ÍNDICE DISEÑO DE CONTADORES SÍNCRONOS JESÚS PIZARRO PELÁEZ

ÍNDICE DISEÑO DE CONTADORES SÍNCRONOS JESÚS PIZARRO PELÁEZ ELECTRÓNICA DIGITAL DISEÑO DE CONTADORES SÍNCRONOS JESÚS PIZARRO PELÁEZ IES TRINIDAD ARROYO DPTO. DE ELECTRÓNICA ÍNDICE ÍNDICE... 1 1. LIMITACIONES DE LOS CONTADORES ASÍNCRONOS... 2 2. CONTADORES SÍNCRONOS...

Más detalles

SELECCIÓN DE PROBLEMAS

SELECCIÓN DE PROBLEMAS SELECCIÓN DE PROBLEMAS 1. Representación numérica 1.1. Convertir a hexadecimal y a binario las siguientes cantidades: a) 757.25 10 b) 123.17 10 1.2. Se dispone de palabras de 10 bits. Representar mediante

Más detalles

UD.-5. Circuitos secuenciales: análisis y diseño de registros y de contadores.

UD.-5. Circuitos secuenciales: análisis y diseño de registros y de contadores. UD.-5. Circuitos secuenciales: análisis y diseño de registros y de contadores. José Gorjón Índice Objetivos. Introducción. Análisis de registros de almacenamiento. Análisis de registros de desplazamiento.

Más detalles

CONTADORES Y REGISTROS

CONTADORES Y REGISTROS Capítulo 7 CONTADORES Y REGISTROS 7.. CONTADORES Un contador es un circuito secuencial cuya función es seguir una cuenta o conjunto predeterminado de estados como consecuencia de la aplicación de un tren

Más detalles

GUIAS ÚNICAS DE LABORATORIO DETECTOR DE MONEDAS AUTOR: ALBERTO CUERVO SANTIAGO DE CALI UNIVERSIDAD SANTIAGO DE CALI DEPARTAMENTO DE LABORATORIOS

GUIAS ÚNICAS DE LABORATORIO DETECTOR DE MONEDAS AUTOR: ALBERTO CUERVO SANTIAGO DE CALI UNIVERSIDAD SANTIAGO DE CALI DEPARTAMENTO DE LABORATORIOS GUIAS ÚNICAS DE LABORATORIO DETECTOR DE MONEDAS AUTOR: ALBERTO CUERVO SANTIAGO DE CALI UNIVERSIDAD SANTIAGO DE CALI DEPARTAMENTO DE LABORATORIOS DETECTOR DE MONEDAS Introducción La presente práctica de

Más detalles

GUIAS ÚNICAS DE LABORATORIO ECUACIONES DE ESTADO AUTOR: ALBERTO CUERVO SANTIAGO DE CALI UNIVERSIDAD SANTIAGO DE CALI DEPARTAMENTO DE LABORATORIOS

GUIAS ÚNICAS DE LABORATORIO ECUACIONES DE ESTADO AUTOR: ALBERTO CUERVO SANTIAGO DE CALI UNIVERSIDAD SANTIAGO DE CALI DEPARTAMENTO DE LABORATORIOS GUIAS ÚNICAS DE LABORATORIO ECUACIONES DE ESTADO AUTOR: ALBERTO CUERVO SANTIAGO DE CALI UNIVERSIDAD SANTIAGO DE CALI DEPARTAMENTO DE LABORATORIOS ECUACIONES DE ESTADO Introducción Un circuito secuencial

Más detalles

1.4 Biestables síncrono

1.4 Biestables síncrono 1.4 Biestables síncrono Son aquellos biestable que disponen de una entrada denominada entrada de habilitación o entrada de reloj (), esta entrada gobierna el modo de funcionamiento del biestable síncrono,

Más detalles

Flip Flops, Multivibradores y Contadores

Flip Flops, Multivibradores y Contadores Flip Flops, Multivibradores y Contadores INTRODUCCION Los circuitos lógicos se clasifican en dos categorías: circuitos lógicos combinacionales y circuitos lógicos secuenciales. Los bloques básicos para

Más detalles

Trabajo Práctico 1. 1) Convertir los siguientes números enteros escritos en binario a: I) Octal II) Decimal III) Hexadecimal

Trabajo Práctico 1. 1) Convertir los siguientes números enteros escritos en binario a: I) Octal II) Decimal III) Hexadecimal Electrónica igital Ingeniería Informática, Universidad Católica rgentina, 2 Trabajo Práctico ) Convertir los siguientes números enteros escritos en binario a: I) Octal II) ecimal III) Hexadecimal a) b)

Más detalles

REGISTROS DE DESPLAZAMIENTO

REGISTROS DE DESPLAZAMIENTO REGISTROS DE DESPLAZAMIENTO Es un circuito digital que acepta datos binarios de una fuente de entrada y luego los desplaza, un bit a la vez, a través de una cadena de flip-flops. Este sistema secuencial

Más detalles

Problemas de Electrónica Digital Tema 5 Flip-Flops. Circuitos Secuenciales Síncronos

Problemas de Electrónica Digital Tema 5 Flip-Flops. Circuitos Secuenciales Síncronos UNIVERSIDAD DE VALLADOLID Departamento de Electricidad y Electrónica Problemas de Electrónica Digital Tema 5 Flip-Flops. Circuitos Secuenciales Síncronos. - Se desea disponer de un contador asíncrono de

Más detalles

UNIVERSIDAD NACIONAL FEDERICO VILLARREAL FACULTAD DE INGENIERÍA ELECTRÓNICA E INFORMÁTICA

UNIVERSIDAD NACIONAL FEDERICO VILLARREAL FACULTAD DE INGENIERÍA ELECTRÓNICA E INFORMÁTICA UNIVERSIDAD NACIONAL FEDERICO VILLARREAL FACULTAD DE INGENIERÍA ELECTRÓNICA E INFORMÁTICA Escuela Académico Profesional de Ingeniería de Telecomunicaciones SILABO ASIGNATURA : SISTEMAS DIGITALES I CÓDIGO:8F0056

Más detalles

+ Máquinas de Estado Finitas

+ Máquinas de Estado Finitas + Máquinas de Estado Finitas Las máquinas de estado pueden ser: SÍNCRONAS: Necesitan de la intervención de un pulso de reloj. Si la entrada participa también en la salida se denomina Máquina de estado

Más detalles

MONOGRAFÍA CIENTÍFICA

MONOGRAFÍA CIENTÍFICA Diseño y Síntesis de Sistemas de Lógica Secuencial Autor: Jorge Portillo Meniz Profesor Titular de Escuela Universitaria Universidad de Las Palmas de Gran Canaria 2006 Jorge Portillo Meniz, 2006 SISTEMAS

Más detalles

Estructura y Tecnología de Computadores

Estructura y Tecnología de Computadores Universidad de Murcia Facultad de Informática TÍTULO DE GRADO EN INGENIERÍA INFORMÁTICA Tema 2: Sistemas Digitales - Circuitos Secuenciales Apuntes CURSO 2009 / 10 VERSIÓN 1.1 Departamento de Ingeniería

Más detalles

Problemas del Tema 1

Problemas del Tema 1 epartamento de Ingeniería Electrónica de Sistemas Informáticos y utomática Problemas del Tema.. Obtener las tablas de estado correspondientes a los siguientes diagramas: 0 -,0 0 0 -,0 -,0.2. Obtener los

Más detalles

TEMA I: INTRODUCCIÓN A LOS CIRCUITOS SECUENCIALES

TEMA I: INTRODUCCIÓN A LOS CIRCUITOS SECUENCIALES TEM I: INTROUIÓN LOS IRUITOS SEUENILES Hasta ahora, únicamente hemos visto circuitos combinacionales, es decir, circuitos en los que las salidas dependen única y exclusivamente de las combinaciones de

Más detalles

INDICE Capitulo 1. Álgebra de variables lógicas Capitulo 2. Funciones lógicas

INDICE Capitulo 1. Álgebra de variables lógicas Capitulo 2. Funciones lógicas INDICE Prefacio XV Capitulo 1. Álgebra de variables lógicas 1 1.1. Variables y funciones 1 1.2. Variables lógicas 2 1.3. Valores de una variable lógica 2 1.4. Funciones de una variable lógica 3 1.5. Funciones

Más detalles

CONTADORES. Definición. Diseño y analisis de un contador binario hacia arriba de 3 bits con flip-flops JK. Otros contadores típicos.

CONTADORES. Definición. Diseño y analisis de un contador binario hacia arriba de 3 bits con flip-flops JK. Otros contadores típicos. CONTADORES Definición Diseño y analisis de un contador binario hacia arriba de 3 bits con flip-flops JK. Otros contadores típicos Descripción VHDL Ejercicios Definición Un contador es un circuito digital

Más detalles

UNIVERSIDAD DEL CARIBE UNICARIBE. Escuela de Informática. Programa de Asignatura

UNIVERSIDAD DEL CARIBE UNICARIBE. Escuela de Informática. Programa de Asignatura UNIVERSIDAD DEL CARIBE UNICARIBE Escuela de Informática Programa de Asignatura Nombre de la asignatura : Lógica Digital Carga académica : 4 créditos Modalidad : Semi-presencial Clave : INF-314 Pre-requisito

Más detalles

TEMA V: SÍNTESIS DE SISTEMAS SECUENCIALES

TEMA V: SÍNTESIS DE SISTEMAS SECUENCIALES TEMA V: SÍNTESIS DE SISTEMAS SECUENCIALES Una vez que hemos visto como se puede resolver el problema de análisis de sistemas secuenciales, vamos a abordar el problema complementario, es decir, el diseño

Más detalles

Análisis y Síntesis. Introducción a los Sistemas Lógicos y Digitales 2008

Análisis y Síntesis. Introducción a los Sistemas Lógicos y Digitales 2008 Introducción a los Sistemas Lógicos y Digitales 2008 Métodos de análisis: Tabla de verdad. Heurístico. Diagramas de estado. Simulación. Test del hardware. etc... Ejemplo de análisis heurístico (planteo

Más detalles

Contadores. Introducción n a los Sistemas Lógicos y Digitales 2009

Contadores. Introducción n a los Sistemas Lógicos y Digitales 2009 Introducción n a los Sistemas Lógicos y Digitales 29 Sergio Noriega Introducción a los Sistemas Lógicos y Digitales - 29 Contador digital: Es todo circuito o dispositivo que genera una serie de combinaciones

Más detalles

DESCRIPCIÓN DE CIRCUITOS DIGITALES

DESCRIPCIÓN DE CIRCUITOS DIGITALES DESCRIPCIÓN DE CIRCUITOS DIGITALES Circuitos combinacionales Circuitos secuenciales Organización del diseño. Diseño genérico Operaciones iterativas Autores: Luis Entrena, Celia López, Mario García, Enrique

Más detalles

Índice. Sistemas Electronicos Digitales 2 o Curso Ingeniería Técnica Industrial. Logica Modular Secuencial. Clasificación Circuitos Digitales

Índice. Sistemas Electronicos Digitales 2 o Curso Ingeniería Técnica Industrial. Logica Modular Secuencial. Clasificación Circuitos Digitales Sistemas Electronicos igitales 2 o Curso Ingeniería écnica Industrial Logica Modular Secuencial José Luis Rosselló Sanz Grupo de ecnología Electrónica Universitat de les Illes Balears Introducción Registros

Más detalles

ESTRUCTURA Y TECNOLOGÍA A DE LOS COMPUTADORES I. TEMA 5 Introducción n a los Sistemas Digitales

ESTRUCTURA Y TECNOLOGÍA A DE LOS COMPUTADORES I. TEMA 5 Introducción n a los Sistemas Digitales ESTRUCTURA Y TECNOLOGÍA A DE LOS COMPUTADORES I TEMA 5 Introducción n a los Sistemas Digitales TEMA 5. Introducción n a los Sistemas Digitales 5.1 Sistemas Digitales 5.2 Sistemas Combinacionales 5.3 Sistemas

Más detalles

Flip-flop SR con compuertas NAND y NOR. Por: Romero Reyes Roció Germana Laboratorio de Sistemas Digitales II Prof. J.

Flip-flop SR con compuertas NAND y NOR. Por: Romero Reyes Roció Germana Laboratorio de Sistemas Digitales II Prof. J. Flip-flop SR con compuertas NAND y NOR Por: Romero Reyes Roció Germana Laboratorio de Sistemas Digitales II Prof. J. Jesús Díaz Aceves Introducción Un circuito Flip Flop puede construirse con dos compuertas

Más detalles

Circuitos Electrónicos Digitales E.T.S.I. Telecomunicación Universidad Politécnica de Madrid. Circuitos Secuenciales

Circuitos Electrónicos Digitales E.T.S.I. Telecomunicación Universidad Politécnica de Madrid. Circuitos Secuenciales Circuitos Electrónicos Digitales E.T.S.I. Telecomunicación Universidad Politécnica de Madrid Circuitos Secuenciales Circuitos secuenciales. Biestables. Registros. Contadores. Registros de desplazamiento

Más detalles

Prerrequisito: Número de Horas Totales 160 Hrs Teoría: 120 Práctica: 40 Horas semanales: 8 Créditos: 17

Prerrequisito: Número de Horas Totales 160 Hrs Teoría: 120 Práctica: 40 Horas semanales: 8 Créditos: 17 Universidad de Guadalajara Centro Universitario de Ciencias Exactas e Ingenierías División de Electrónica y Computación Departamento de Electrónica Ingeniería en Comunicaciones y Electrónica DISEÑO DIGITAL

Más detalles

INDICE CYNTHIA P.GUERRERO SAUCEDO PALOMA G. MENDOZA VILLEGAS 1

INDICE CYNTHIA P.GUERRERO SAUCEDO PALOMA G. MENDOZA VILLEGAS 1 INDICE UNIDAD 1: SISTEMAS NUMERICOS 1 SISTEMA BINARIO...3 1.1 CONVERSION DE DECIMAL A BINARIO...4 1.2 CONVERSION DE BINARIO A DECIMAL...6 1.3 ARITMETICA BINARIA.. 102 2. SISTEMA HEXADECIMAL......7 2.1

Más detalles

EJERCICIOS RESUELTOS DE SECUENCIALES

EJERCICIOS RESUELTOS DE SECUENCIALES EJERCICIOS RESUELTOS DE SECUENCIALES 1) El sistema de apertura de una caja fuerte está compuesto por dos teclas A y B, un circuito secuencial a diseñar y un temporizador que mantiene la caja fuerte abierta

Más detalles

ESTRUCTURA Y TECNOLOGÍA DE COMPUTADORES PRÁCTICAS DE LÓGICA CABLEADA

ESTRUCTURA Y TECNOLOGÍA DE COMPUTADORES PRÁCTICAS DE LÓGICA CABLEADA ESTRUCTURA Y TECNOLOGÍA DE COMPUTADORES PRÁCTICAS DE LÓGICA CABLEADA INGENIERÍA TÉCNICA EN INFORMÁTICA DE GESTIÓN - 2008 PRÁCTICAS DE ESTRUCTURA Y TECNOLOGÍA DE COMPUTADORES Página 2 INTRODUCCIÓN En el

Más detalles

BIBLIOGRAFIA TEORIA DE CIRCUITOSY DISPOSOTIVOS BOYLESTAD ELECTRONICA DIGITAL TOKHEIM SISTEMAS DIGITALES TOCCI

BIBLIOGRAFIA TEORIA DE CIRCUITOSY DISPOSOTIVOS BOYLESTAD ELECTRONICA DIGITAL TOKHEIM SISTEMAS DIGITALES TOCCI Guía de preparación para el examen ELECTRONICA CxTx En esta materia básicamente se evalúan temas tales como son: MULTIVIBRADORES, MEMORIAS, CONTADORES Y COMPUERTAS LOGICAS, SUMADOR RESTADOR Y MICROPOCESADORES

Más detalles

Registros y Contadores

Registros y Contadores Registros y Contadores Mario Medina C. mariomedina@udec.cl Registros Grupos de flip-flops con reloj común Almacenamiento de datos Desplazamiento de datos Construcción de contadores simples Como cada FF

Más detalles

Temario Contadores asincrónicos y sincrónicos Arquitectura Características Circuitos comerciales Diseño Máquinas de estado finito Mealy Moore Ejemplo

Temario Contadores asincrónicos y sincrónicos Arquitectura Características Circuitos comerciales Diseño Máquinas de estado finito Mealy Moore Ejemplo Temario Contadores asincrónicos y sincrónicos Arquitectura Características Circuitos comerciales Diseño Máquinas de estado finito Mealy Moore Ejemplo 1 Electrónica Digital 2 Electrónica Digital 3 Electrónica

Más detalles

Laboratorio 2: Mediciones Digitales

Laboratorio 2: Mediciones Digitales Objetivos: Laboratorio 2: Mediciones Digitales Conocer y utilizar con propiedad un osciloscopio de señal mixta. Manejar los conceptos de sincronización, disparo, nivel de disparo, y base de tiempo de un

Más detalles

t i Q 7 Q 6 Q 5 Q 4 Q 3 Q 2 Q 1 Q 0

t i Q 7 Q 6 Q 5 Q 4 Q 3 Q 2 Q 1 Q 0 Clase 5 Un registro es un conjunto de n latch o Flip-Flops asociados que permiten almacenar temporalmente una palabra o grupo de n bit. Hay dos clases de registros típicos sincrónicos 1. el registro de

Más detalles

GUIAS ÚNICAS DE LABORATORIO TRANSFERENCIA ENTRE REGISTROS AUTOR: ALBERTO CUERVO

GUIAS ÚNICAS DE LABORATORIO TRANSFERENCIA ENTRE REGISTROS AUTOR: ALBERTO CUERVO GUIAS ÚNICAS DE LABORATORIO TRANSFERENCIA ENTRE REGISTROS AUTOR: ALBERTO CUERVO SANTIAGO DE CALI UNIVERSIDAD SANTIAGO DE CALI DEPARTAMENTO DE LABORATORIOS TRANSFERENCIA ENTRE REGISTROS OBETIVO El lenguaje

Más detalles

Guía Docente Modalidad Presencial. Tecnología de Computadores. Curso 2015/16. Grado en Ingeniería de. Sistemas de Información

Guía Docente Modalidad Presencial. Tecnología de Computadores. Curso 2015/16. Grado en Ingeniería de. Sistemas de Información Guía Docente Modalidad Presencial Tecnología de Computadores Curso 2015/16 Grado en Ingeniería de Sistemas de Información 1 Datos descriptivos de la Asignatura Nombre: TECNOLOGÍA DE COMPUTADORES Carácter:

Más detalles

Universidad de Guanajuato FIMEE. Electrónica Digital II

Universidad de Guanajuato FIMEE. Electrónica Digital II Universidad de Guanajuato FIMEE Departamento de Electrónica Manual de prácticas Electrónica Digital II Ing. Dr. René de J. Romero Troncoso Verano 2006. Contenido: Introducción 3 Recomendaciones generales

Más detalles

Temario de Electrónica Digital

Temario de Electrónica Digital Temario de Electrónica Digital TEMA 1. INTRODUCCIÓN A LOS SISTEMAS DIGITALES. Exponer los conceptos básicos de los Fundamentos de los Sistemas Digitales. Asimilar las diferencias básicas entre Sistemas

Más detalles

Lógica y compuertas (Parte 2): Circuitos Combinacionales y Secuenciales

Lógica y compuertas (Parte 2): Circuitos Combinacionales y Secuenciales Práctica 4 Lógica y compuertas (Parte 2): Circuitos Combinacionales y Secuenciales Objetivos de la práctica: que el alumno domine Circuitos lógicos y diagramas de compuertas Introducción a equivalencias

Más detalles

Electrónica de las puertas lógicas y de los elementos de memoria. Capítulo 3 : Introducción al Diseño de CIs. Elena Valderrama

Electrónica de las puertas lógicas y de los elementos de memoria. Capítulo 3 : Introducción al Diseño de CIs. Elena Valderrama página >>1 Introducción al Diseño de CIs Universitat Autònoma de Barcelona Curso académico 2009-10 Capítulo 3 : Electrónica de las puertas lógicas y de los elementos de memoria página >>2 Introducción

Más detalles

UNIVERSIDAD NACIONAL MAYOR DE SAN MARCOS (Universidad del Perú, Decana de América)

UNIVERSIDAD NACIONAL MAYOR DE SAN MARCOS (Universidad del Perú, Decana de América) UNIVERSIDAD NACIONAL MAYOR DE SAN MARCOS (Universidad del Perú, Decana de América) FACULTAD DE INGENIERIA DE SISTEMAS E INFORMATICA Escuela Académico Profesional de Ingeniería de Sistemas SILABO 1. ESPECIFICACIONES

Más detalles

GUIAS ÚNICAS DE LABORATORIO SISTEMA DIGITAL DE SEGURIDAD AUTOR: ALBERTO CUERVO

GUIAS ÚNICAS DE LABORATORIO SISTEMA DIGITAL DE SEGURIDAD AUTOR: ALBERTO CUERVO GUIAS ÚNICAS DE LABORATORIO SISTEMA DIGITAL DE SEGURIDAD AUTOR: ALBERTO CUERVO SANTIAGO DE CALI UNIVERSIDAD SANTIAGO DE CALI DEPARTAMENTO DE LABORATORIOS SISTEMA DIGITAL DE SEGURIDAD Introducción El artículo

Más detalles

MEJORAS EN EL ENTORNO BOOLE-DEUSTO DE DISEÑO DIGITAL

MEJORAS EN EL ENTORNO BOOLE-DEUSTO DE DISEÑO DIGITAL MEJORAS EN EL ENTORNO BOOLE-DEUSTO DE DISEÑO DIGITAL Javier García Zubía, Jesús Sanz Martínez, Borja Sotomayor Basilio Facultad de Ingeniería. ESIDE. Universidad de Deusto zubia@eside.deusto.es RESUMEN

Más detalles

PROGRAMA DE ESTUDIO. Horas de Práctica

PROGRAMA DE ESTUDIO. Horas de Práctica PROGRAMA DE ESTUDIO Nombre de la asignatura: SISTEMAS DIGITALES 2 Clave: IEE19 Fecha de elaboración: marzo 2015 Horas Semestre Horas semana Horas de Teoría Ciclo Formativo: Básico ( ) Profesional ( ) Especializado

Más detalles

Sistemas Electrónicos Digitales. Práctica 2 Implementación de un filtro FIR basado en estructura MAC

Sistemas Electrónicos Digitales. Práctica 2 Implementación de un filtro FIR basado en estructura MAC Sistemas Electrónicos igitales 2 o Ing. Telecomunicación Práctica 2 Implementación de un filtro FIR basado en estructura MAC Javier Toledo Moreo pto. Electrónica, Tecnología de Computadoras y Proyectos

Más detalles

GUIAS ÚNICAS DE LABORATORIO CIRCUITOS CONTADORES AUTOR: ALBERTO CUERVO SANTIAGO DE CALI UNIVERSIDAD SANTIAGO DE CALI DEPARTAMENTO DE LABORATORIOS

GUIAS ÚNICAS DE LABORATORIO CIRCUITOS CONTADORES AUTOR: ALBERTO CUERVO SANTIAGO DE CALI UNIVERSIDAD SANTIAGO DE CALI DEPARTAMENTO DE LABORATORIOS GUIS ÚNIS DE LORTORIO IRUITOS ONTDORES UTOR: LERTO UERVO SNTIGO DE LI UNIVERSIDD SNTIGO DE LI DEPRTMENTO DE LORTORIOS IRUITOS ONTDORES Introducción El contador es un circuito específico de circuito secuencial,

Más detalles

ELO211: Sistemas Digitales. Tomás Arredondo Vidal 1er Semestre 2008

ELO211: Sistemas Digitales. Tomás Arredondo Vidal 1er Semestre 2008 ELO211: Sistemas Digitales Tomás Arredondo Vidal 1er Semestre 2008 Este material está basado en: textos y material de apoyo: Contemporary Logic Design 1 st / 2 nd Borriello and Randy Katz. Prentice Hall,

Más detalles

Algoritmos y solución de problemas. Fundamentos de Programación Otoño 2008 Mtro. Luis Eduardo Pérez Bernal

Algoritmos y solución de problemas. Fundamentos de Programación Otoño 2008 Mtro. Luis Eduardo Pérez Bernal Algoritmos y solución de problemas Fundamentos de Programación Otoño 2008 Mtro. Luis Eduardo Pérez Bernal Introducción Departamento de Electrónica, Sistemas e Informática En las ciencias de la computación

Más detalles

Circuitos lógicos secuenciales Continuamos con los circuitos lógicos secuenciales.

Circuitos lógicos secuenciales Continuamos con los circuitos lógicos secuenciales. Circuitos lógicos secuenciales Continuamos con los circuitos lógicos secuenciales. RESETABLE JK FLIP FLOP Muchas veces se requiere flip-flops tipo JK que puedan ser Set y Reset a estados conocidos, antes

Más detalles

ASIGNATURA: ELECTRÓNICA DIGITAL PROGRAMA ACADÉMICO: INGENIERIA EN MECATRÓNICA TIPO EDUCATIVO: INGENIERIA MODALIDAD: MIXTA

ASIGNATURA: ELECTRÓNICA DIGITAL PROGRAMA ACADÉMICO: INGENIERIA EN MECATRÓNICA TIPO EDUCATIVO: INGENIERIA MODALIDAD: MIXTA INSTITUTO UNIVERSITARIO PUEBLA HOJA: 1 DE 3 PROGRAMA ACADÉMICO: INGENIERIA EN MECATRÓNICA TIPO EDUCATIVO: INGENIERIA MODALIDAD: MIXTA SERIACIÓN: NINGUNA CLAVE DE LA ASIGNATURA: IM45 CICLO: OCTAVO CUATRIMESTRE

Más detalles

Problemas del Tema 1

Problemas del Tema 1 epartamento de Ingeniería Electrónica de Sistemas Informáticos y utomática Problemas del Tema.. Obtener las tablas de estado correspondientes a los siguientes diagramas: 0,,0,0,,0 -,0,0,0,0,0, 0 0, 0,

Más detalles

Módulos basados en circuitos. secuenciales. Introducción. Contenido. Objetivos. Capítulo. secuenciales

Módulos basados en circuitos. secuenciales. Introducción. Contenido. Objetivos. Capítulo. secuenciales Capítulo Módulos basados en circuitos en circuitos Módulos basados Introducción Así como en el Capítulo 5 analizamos módulos basados en puertas, ahora toca referirnos a módulos construidos con biestables

Más detalles

Tabla de contenidos. 1 Lógica directa

Tabla de contenidos. 1 Lógica directa Tabla de contenidos 1 Lógica directa o 1.1 Puerta SI (YES) o 1.2 Puerta Y (AND) o 1.3 Puerta O (OR) o 1.4 Puerta OR-exclusiva (XOR) 2 Lógica negada o 2.1 Puerta NO (NOT) o 2.2 Puerta NO-Y (NAND) o 2.3

Más detalles

Electrónica Digital - Guión

Electrónica Digital - Guión Electrónica Digital - Guión 1. Introducción. 2. El álgebra de Boole. 3. Propiedades del álgebra de Boole. 4. Concepto de Bit y Byte. 5. Conversión del sistema decimal en binario y viceversa. 6. Planteamiento

Más detalles

Circuitos secuenciales. básicos. Introducción. Objetivos. Contenido. Capítulo. básicos

Circuitos secuenciales. básicos. Introducción. Objetivos. Contenido. Capítulo. básicos Capítulo Circuitos secuenciales Circuitos secuenciales Introducción Con este capítulo comenzamos una andadura importante en este mundillo de los sistemas binarios ya que aprenderemos un concepto nuevo:

Más detalles

Sistema electrónico digital (binario) que procesa datos siguiendo unas instrucciones almacenadas en su memoria

Sistema electrónico digital (binario) que procesa datos siguiendo unas instrucciones almacenadas en su memoria 1.2. Jerarquía de niveles de un computador Qué es un computador? Sistema electrónico digital (binario) que procesa datos siguiendo unas instrucciones almacenadas en su memoria Es un sistema tan complejo

Más detalles

TEMARIOS PARA EL PROCESO DE SELECCIÓN DEL PERSONAL SINDICALIZADO

TEMARIOS PARA EL PROCESO DE SELECCIÓN DEL PERSONAL SINDICALIZADO TELÉFONOS DEL NOROESTE, S.A. DE C.V. TEMARIOS PARA EL PROCESO DE SELECCIÓN DEL PERSONAL SINDICALIZADO RELACION PUESTO / TEMARIO (GENERAL) PUESTO CONMUTACION / TRANSMISION: ASIGNATURA Inglés Algebra Computación

Más detalles

Tema 8. Circuitos secuenciales de Propósito general: REGISTROS Y CONTADORES

Tema 8. Circuitos secuenciales de Propósito general: REGISTROS Y CONTADORES Registros y ontadores 1 Tema 8. ircuitos secuenciales de Propósito general: REGISTROS Y ONTORES Una colección de dos o más biestables con una entrada común se conoce como un registro. Los registros se

Más detalles

TEMA 11. CIRCUITOS ARITMÉTICOS TICOS DIGITALES

TEMA 11. CIRCUITOS ARITMÉTICOS TICOS DIGITALES TEM. CIRCUITOS RITMÉTICOS TICOS DIGITLES http://www.tech-faq.com/wp-content/uploads/images/integrated-circuit-layout.jpg IEEE 25 niversary: http://www.flickr.com/photos/ieee25/with/2809342254/ TEM. CIRCUITOS

Más detalles

TEMA 7 ANÁLISIS Y DISEÑO DE CIRCUITOS SECUENCIALES

TEMA 7 ANÁLISIS Y DISEÑO DE CIRCUITOS SECUENCIALES TEM 7 NÁLII Y IEÑO E IUITO EUENILE TEM 7:nálisis y diseño de circuitos secuenciales . INTOUIÓN En la siguiente figura se representa el diagrama de bloues de un circuito secuencial. Entradas ircuito ombinacional

Más detalles

ITT-327-T Microprocesadores

ITT-327-T Microprocesadores ITT-327-T Microprocesadores Temporizador Programable (PIT) 8254. Temporizador/Contador Programable (PIT) 8254. Es un contador/temporizador programable diseñado para trabajar con los sistemas de microcomputadores.

Más detalles

Circuitos Electrónicos. Primer parcial curso 2006-07

Circuitos Electrónicos. Primer parcial curso 2006-07 Circuitos Electrónicos. Primer parcial curso 2006-07 Ante el creciente interés por las apuestas deportivas, el Departamento Técnico de las Loterías y Apuestas del Estado os ha encargado la actualización

Más detalles

Sistemas Digitales y el Entrenador Lógico CE300.

Sistemas Digitales y el Entrenador Lógico CE300. Sistemas Digitales y el Entrenador Logico CE3. Sistemas Digitales y el Entrenador Lógico CE3. Marco Antonio Pérez Cisneros * y Mark Readman + * División de Electrónica y Computación, CUCEI, Universidad

Más detalles

MICROCONTROLADORES PIC

MICROCONTROLADORES PIC MICROCONTROLADORES PIC LOS TIMER DE LOS 16F87x TEMA EL TIMER 1 CCFF D.P.E. MÓDULO DE PROYECTOS 1 Diagrama de Bloques del TIMER1 CCFF D.P.E. MÓDULO DE PROYECTOS 2 INTRODUCCIÓN El módulo TIMER1 es un temporizador/contador

Más detalles

ÍNDICE AUTORES...13 PRÓLOGO...19 INTRODUCCIÓN...21 SIMBOLOGÍA Y NOMENCLATURA...25 PROGRAMAS UTILIZADOS...29

ÍNDICE AUTORES...13 PRÓLOGO...19 INTRODUCCIÓN...21 SIMBOLOGÍA Y NOMENCLATURA...25 PROGRAMAS UTILIZADOS...29 ÍNDICE AUTORES...13 PRÓLOGO...19 INTRODUCCIÓN...21 SIMBOLOGÍA Y NOMENCLATURA...25 PROGRAMAS UTILIZADOS...29 CAPÍTULO 1. FUNDAMENTOS GENERALES DE LA ELECTRÓNICA GENERAL...35 1.1 SISTEMAS ANALÓGICOS Y DIGITALES...36

Más detalles

ÍNDICE 1. EL SISTEMA DE NUMERACIÓN BINARIO, BASE DE LA ELECTRÓNICA DIGITAL............................. 1 Introducción.......................................... 1 Sistemas de numeración decimal y binario..................

Más detalles