9-Sistemas Secuenciales

Tamaño: px
Comenzar la demostración a partir de la página:

Download "9-Sistemas Secuenciales"

Transcripción

1 9-Sistemas Secuenciales 9. Máquinas de Estados Finitos 9.2 Mealy y Moore 9.3 Implementación en Verilog 9: Secuenciales

2 Abstracción Dividir circuito en lógica combinacional y estado (state) Localizar los enlaces de feedback (loops) Implementación de elementos de almacenamiento (storage elements) nos da diferentes formas de lógica secuencial Inputs Combinational Logic Outputs State Inputs Storage Elements State Outputs 9: Secuenciales 2

3 Formas de lógica secuencial Asincrónica estados cambian cuandos los inputs cambian (elemento de almacenamiento pueden ser simples alambres of retardos) Sincrónica cambios de estados ocurren en todos los elementos de almacenamiento al mismo tiempo (de acuerdo a una senal periódica el reloj o clock) Clock 9: Secuenciales 3

4 Elementos de almacenamiento: latches y flip-flops D Q CLK positive edge-triggered flip-flop D CLK Qedge D Q G CLK transparent (level-sensitive) latch Qlatch comportamiento no es el mismo si es que los inputs cambian cuando el clock esta alto 9: Secuenciales 4

5 Flip Flop JK Diagrama de estados Tabla transiciones Ecuacion caraterística 9: Secuenciales 5

6 Flip Flop JK Tabla Característica Tabla de Excitaciones 9: Secuenciales 6

7 Flip Flop T 9: Secuenciales 7

8 Flip Flop D 9: Secuenciales 8

9 Representaciones de máquinas de estados finitos (finite state machines) Estados: determinado por posibles valores en elementos de almacenamiento Transiciones: cambios de estado Reloj (clock): controla cuando los estados pueden cambiar al controlar elementos de almacenamiento Lógica secuencial secuencia a través una serie de estados basado en secuencia de valores de señales de input (x) x = x = x = x = 9: Secuenciales 9

10 Diagrama de máquina de estados finitos Ejemplo: Candado de combinación 5 estados 5 auto-transiciones 6 otras transiciones entre estados transición de reset (de todos los estados) al estado S closed ERROR reset closed mux=c not equal not equal & new not equal & new & new S S2 S3 OPEN closed closed open mux=c2 mux=c3 equal & new equal & new equal & new not new not new not new 9: Secuenciales

11 Ejemplo: Registro de corrimiento (shift register) Shift register input mostrado en arcos de transiciones valores de output mostrado en nodo de estado IN CLK OUT OUT2 OUT3 D Q D Q D Q 9: Secuenciales

12 Ejemplo: Contadores Contadores proceden a través de secuencia de estados bien definida en respuesta a enable. Muchos tipos de contadores: binario, BCD, código Gray contador de subida de 3 bits:,,,,,,,,,... contador de bajada de 3-bits:,,,,,,,,,... 3-bit up-counter 9: Secuenciales 2

13 Como convertir diagrama de estados a tabla de transiciones? Tabla de transiciones: forma tabular de diagrama de estados. Como una tabla de verdad (se especifican todos los outputs para las combinaciones de input). Ejemplo: contador 3-bit up-counter present state next state : Secuenciales 3

14 Implementación Un flip-flop para cada bit de estado Lógica combinacional basada en codificación C3 C2 C N3 N2 N código en Verilog para mostrar que la función es un input a un D-FF N <= C N2 <= CC2 + C C2 <= C xor C2 N3 <= CC2C3 + C C3 + C2 C3 <= (CC2)C3 + (C + C2 )C3 <= (CC2)C3 + (CC2) C3 <= (CC2) xor C3 N3 C3 N2 C3 N C3 C C C C2 C2 C2 9: Secuenciales 4

15 Implementación (cont) Contador 3 flip-flops para tener estado. lógica para calcular próximo estado. reloj controla cuando la memoria de los flip-flops cambia. hay que esperar para que la lógica calcule nuevo valor no hay que esperar mucho para no tener velocidad muy lenta OUT OUT2 OUT3 CLK D Q D Q D Q "" 9: Secuenciales 5

16 Implementación: Registro de corrimiento Input determina próximo estado In C C2 C3 N N2 N3 N <= In N2 <= C N3 <= C2 IN CLK D Q D Q D Q OUT OUT2 OUT3 9: Secuenciales 6

17 Ejemplo: Contador más complejo Contador Complejo repite 5 estados en secuencia secuencia no es una representación numérica binaria Paso : hacer diagrama de transición de estados contar secuencia:,,,, Paso 2: hacer tabla de transición de estados Present State Next State C B A C+ B+ A+ notar condiciones don't care por los estados no usados 9: Secuenciales 7

18 Ejemplo: Contador más complejo (cont) Paso 3: mapas Karnaugh para próximas funciones C+ C B+ C A+ C X X X A X X A X X A X X B B B C+ <= A B+ <= B + A C A+ <= BC 9: Secuenciales 8

19 Contadores con estados iniciales Estados iniciales durante el inicio, el contador puede estar en un estado sin usar o inválido el diseñador debe garantizar que eventualmente entre en un estado válido diseñar para que estados inválidos transiciones a válidos 9: Secuenciales 9

20 Contadores con estados iniciales (cont) Generar tabla de transición de estados con estados iniciales C+ C B+ C A+ C A A A B B B Present State Next State C B A C+ B+ A+ 9: Secuenciales 2

21 Actividad Contador up-down de 2-bits (2 inputs) dirección: D = para up, D = para down cuenta: C = para parar, C = para contar C= D=X C= D= C= D=X C= D= C= D= C= D= C= D=X C= D= C= D=X S S C D N N 9: Secuenciales 2

22 Actividad (cont) S S C D N N S D C S S D C S N = C S + CDS S + DSS + CD SS + D S S N = CS + C S 9: Secuenciales 22

23 9-Sistemas Secuenciales 9. Maquinas de Estados Finitos 9.2 Mealy y Moore 9.3 Implementación en Verilog 9: Secuenciales 23

24 Modelo de Contador/registro de corrimiento Valores almacenados en registros representan estado del circuito Lógica combinacional calcula: próximo estado función de estados actuales e inputs salidas (o outputs) valores de flip-flops Inputs next state logic Next State Current State Outputs 9: Secuenciales 24

25 Modelo general Valores almacenados en registros representan el estado del circuito: y Lógica combinacional calcula próximo estado: FPE (Función Próximo Estado) función de estados actuales e inputs outputs: FS (Función Salida) Mealy: función de estado actual e inputs, z = F(y, x) Moore: solo función de estado actual, z = F(y) Inputs: x lógica output FS lógica próximo estado: FPE Outputs: z Next State: y(n+) Current State: y(n) 9: Secuenciales 25

26 Modelo general (cont) Estados: y, y 2,..., y k Inputs: x, x 2,..., x m Outputs: z, z 2,..., z n Función transición: FPE(y i, x j ) Función de output: FS(y i ) or FS(y i, x j ) Inputs output logic next state logic Outputs Next State Current State Next State State Clock : Secuenciales 26

27 Máquinas Mealy vs Moore Máquinas Mealy tienden a tener menos estados outputs son diferentes en arcos (n 2 ) no en estados (n) Máquinas Moore outputs cambian durante cambios del reloj (siempre un ciclo más tarde) en máquinas Mealy, input puede causar cambios en output de inmediato cuando cambie lógica puede causar problemas cuando se conectan múltiples máquinas Máquinas Mealy reaccionan mas rápido reaccionan en el mismo ciclo no tienen que esperar el reloj en algunos casos en máquinas Moore mas lógica puede ser necesaria para decodificar estado en outputs 9: Secuenciales 27

28 Comparar maquinas Mealy y Moore (cont) Moore: z = F(y) inputs combinational logic for next state reg logic for outputs outputs state feedback inputs logic for outputs outputs Mealy: z = F(y, x) combinational logic for next state reg state feedback inputs logic for outputs outputs Mealy Sincrónica z = F(y, x) con FF en salida combinational logic for next state reg state feedback 9: Secuenciales 28

29 Especificar outputs para máquina Moore Output es solo una función del estado se especifica en nodos del diagrama de estado Ejemplo: detector de secuencia para o reset A/ B/ C/ D/ E/ current next reset input state state output A A B A C B B B D C E C C D E D C E B E D 9: Secuenciales 29

30 Especificar outputs para máquina Mealy Output es función de estados e inputs especificar output en transición entre estados Ejemplo: Detector de secuencia para o reset/ / B / A / / / C current next reset input state state output A A B A C B B B C C B C C / 9: Secuenciales 3

31 Maquina Mealy Maquina Mealy Sincrónica estados y outputs con registros evita outputs con ruidos ( glitches ) típicamente se implementa en PLDs Inputs output logic next state logic Outputs Current State 9: Secuenciales 3

32 Ejemplo: máquinas de bebidas Entrega bebida después que 5 pesos son depositados Acepta diferentes monedas 5 (N), (D) Reset No entrega cambio Coin Sensor N D Vending Machine FSM Open Release Mechanism Clock 9: Secuenciales 32

33 Ejemplo: máquinas de bebidas (cont) Representación abstracta listar secuencias típicas: tres de cincuenta Reset cincuenta, cien cien, cincuenta S dos de cien N D dibujar diagrama de estados: inputs: N, D, reset S S2 output: dar bebida (OPEN) N D N D asumir: N y D seteadas por un ciclo cada estado tiene un auto estado para N = D = (no hay moneda) N S7 [open] S3 D S8 [open] S4 [open] S5 [open] S6 [open] 9: Secuenciales 33

34 Ejemplo: máquinas de bebidas (cont) Minimizar número de estados reusar estados si es posible D 5 Reset N N 5 [open] N + D D present inputs next output state D N state open tabla de estados simbólica 5 9: Secuenciales 34

35 Ejemplo: máquinas de bebidas (cont) Codificar estados present stateinputs next state output Q Q D N D D open 9: Secuenciales 35

36 Ejemplo: Implementación Moore Mapear la lógica D D Q X X X N D D Q X X X N Open Q D X X X N Q Q Q D = Q + D + Q N D = Q N + Q N + Q N + Q D OPEN = Q Q 9: Secuenciales 36

37 Ejemplo: Implementación Moore (cont) Otra codificación ( encendido) present state inputs next state output Q3 Q2 Q Q D N D3 D2 D D open D = Q D N D = Q N + Q D N D2 = Q D + Q N + Q2 D N D3 = Q D + Q2 D + Q2 N + Q3 OPEN = Q3 9: Secuenciales 37

38 Diagramas de Estados de Mealy y Moore Moore outputs asociados con estados Mealy outputs asociados con transiciones Reset N D + Reset Reset/ (N D + Reset)/ [] N D N D / N N/ D 5 [] N D D/ 5 N D / N N/ D [] N D D/ N D / N+D N+D/ 5 [] Reset 5 Reset / 9: Secuenciales 38

39 Ejemplo: Implementación Mealy Reset/ Reset/ N D / N/ D/ 5 N D / N/ D/ N+D/ N D / 5 Reset / Open Q D X X X Q N present stateinputs next state output Q Q D N D D open D D OPEN = Q N + QN + QN + QD = Q + D + QN = QQ + QN + QD + QD 9: Secuenciales 39

40 Ejemplo: Implementación Mealy (cont) D = Q N + QN + QN + QD D = Q + D + QN OPEN = QQ + QN + QD + QD hay que asegurar que OPEN es cuando hay reset con compuerta AND 9: Secuenciales 4

41 9-Sistemas Secuenciales 9. Maquinas de Estados Finitos 9.2 Mealy y Moore FSMs 9.3 Implementación en Verilog 9: Secuenciales 4

42 Ejemplo: reducir string de s en Eliminar un de cada string de s en el input Moore Mealy zero [] zero [] / one [] twos [] / / one [] / 9: Secuenciales 42

43 Ejemplo: reducir string de s en Verilog: Máquina de Moore module reduce (clk, reset, in, out); input clk, reset, in; output out; asignar estados parameter zero = 2 b; parameter one = 2 b; parameter twos = 2 b; zero [] reg out; reg [2:] state; reg [2:] next_state; // state variables clk) if (reset) state = zero; else state = next_state; one [] twos [] 9: Secuenciales 43

44 Ejemplo: reducir string de s en (cont) or state) case (state) zero: // last input was a zero begin if (in) next_state = one; else next_state = zero; end one: // we've seen one begin if (in) next_state = twos; else next_state = zero; end twos: // we've seen at least 2 ones begin if (in) next_state = twos; else next_state = zero; end endcase hay que incluir todas las señales que son determinan el estado case (state) zero: out = ; one: out = ; twos: out = ; endcase endmodule el output solo depende del estado 9: Secuenciales 44

45 Verilog para MEF Mealy module reduce (clk, reset, in, out); input clk, reset, in; output out; reg out; reg state; // state variables reg next_state; clk) if (reset) state = zero; else state = next_state; or state) case (state) zero: // last input was a zero begin out = ; if (in) next_state = one; else next_state = zero; end one: // we've seen one if (in) begin next_state = one; out = ; end else begin next_state = zero; out = ; end endcase endmodule / zero [] / one [] / / 9: Secuenciales 45

46 Verilog para MEF Mealy (otra versión) module reduce (clk, reset, in, out); input clk, reset, in; output out; reg out; reg state; // state variables clk) if (reset) state = zero; else case (state) zero: // last input was a zero begin out = ; if (in) state = one; else state = zero; end one: // we've seen one if (in) begin state = one; out = ; end else begin state = zero; out = ; end endcase / zero [] / one [] / / 9: Secuenciales 46

47 Resumen MEFs Modelos para representar circuitos secuenciales abstracción de elementos secuenciales máquinas de estados finitos y diagramas de estados Mealy, Moore y maquinas sincrónicas Mealy Procedimiento de diseño usando MEFs generar diagrama de estados generar tabla de transiciones de estados determinar funciones de próximo estado y output implementar lógica combinacional HDLs 9: Secuenciales 47

ELO211: Sistemas Digitales. Tomás Arredondo Vidal 1er Semestre 2008

ELO211: Sistemas Digitales. Tomás Arredondo Vidal 1er Semestre 2008 ELO211: Sistemas Digitales Tomás Arredondo Vidal 1er Semestre 2008 Este material está basado en: textos y material de apoyo: Contemporary Logic Design 1 st / 2 nd Borriello and Randy Katz. Prentice Hall,

Más detalles

Circuitos Secuenciales: concepto de estado

Circuitos Secuenciales: concepto de estado Lógica Secuencial Circuitos Secuenciales: concepto de estado En los sistemas secuenciales la salida Z en un determinado instante de tiempo t i depende de X en ese mismo instante de tiempo t i y en todos

Más detalles

+ Máquinas de Estado Finitas

+ Máquinas de Estado Finitas + Máquinas de Estado Finitas Las máquinas de estado pueden ser: SÍNCRONAS: Necesitan de la intervención de un pulso de reloj. Si la entrada participa también en la salida se denomina Máquina de estado

Más detalles

Sistemas Secuenciales

Sistemas Secuenciales Electrónica Básica Sistemas Secuenciales Electrónica Digital José Ramón Sendra Sendra Dpto. de Ingeniería Electrónica y Automática ULPGC CIRCUITOS SECUENCIALES Combinacional: las salidas dependen de las

Más detalles

CONTADORES. Definición. Diseño y analisis de un contador binario hacia arriba de 3 bits con flip-flops JK. Otros contadores típicos.

CONTADORES. Definición. Diseño y analisis de un contador binario hacia arriba de 3 bits con flip-flops JK. Otros contadores típicos. CONTADORES Definición Diseño y analisis de un contador binario hacia arriba de 3 bits con flip-flops JK. Otros contadores típicos Descripción VHDL Ejercicios Definición Un contador es un circuito digital

Más detalles

INTRODUCCIÓN A HDL VERILOG

INTRODUCCIÓN A HDL VERILOG INTRODUCCIÓN A HDL VERILOG Departamento de Tecnología Electrónica Universidad de Sevilla Paulino Ruiz de Clavijo Vázquez Rev.7 (nov 2012) Índice Introducción a HDL Verilog Bloque I:

Más detalles

Sistemas Digitales y el Entrenador Lógico CE300.

Sistemas Digitales y el Entrenador Lógico CE300. Sistemas Digitales y el Entrenador Logico CE3. Sistemas Digitales y el Entrenador Lógico CE3. Marco Antonio Pérez Cisneros * y Mark Readman + * División de Electrónica y Computación, CUCEI, Universidad

Más detalles

Electrónica Digital II. M. C. Felipe Santiago Espinosa

Electrónica Digital II. M. C. Felipe Santiago Espinosa Electrónica Digital II M. C. Felipe Santiago Espinosa Octubre de 2014 WinCUPL Software desarrollado por Atmel Corporation. CUPL: Compilador universal para lógica programable (genera archivos para programar

Más detalles

Latches, flipflops y registros

Latches, flipflops y registros Departamento de Electrónica Electrónica Digital Latches, flipflops y registros Facultad de Ingeniería Bioingeniería Universidad Nacional de Entre Ríos Circuitos secuenciales 1 Circuitos secuenciales Salida

Más detalles

Temario Contadores asincrónicos y sincrónicos Arquitectura Características Circuitos comerciales Diseño Máquinas de estado finito Mealy Moore Ejemplo

Temario Contadores asincrónicos y sincrónicos Arquitectura Características Circuitos comerciales Diseño Máquinas de estado finito Mealy Moore Ejemplo Temario Contadores asincrónicos y sincrónicos Arquitectura Características Circuitos comerciales Diseño Máquinas de estado finito Mealy Moore Ejemplo 1 Electrónica Digital 2 Electrónica Digital 3 Electrónica

Más detalles

Registros de desplazamiento

Registros de desplazamiento Registros de desplazamiento Definición de registro de desplazamiento básico Tipos de registro de desplazamiento Configuraciones específicas Aplicaciones más típicas VHDL Ejercicio propuestos Definición

Más detalles

Un contador es un circuito secuencial que genera una secuencia ordenada de salidas que se repite en el tiempo. La salida coincide con el estado de

Un contador es un circuito secuencial que genera una secuencia ordenada de salidas que se repite en el tiempo. La salida coincide con el estado de CONTADORES Un contador es un circuito secuencial que genera una secuencia ordenada de salidas que se repite en el tiempo. La salida coincide con el estado de sus biestables. Los contadores son circuitos

Más detalles

Registros. Buses. Memorias.

Registros. Buses. Memorias. Capítulo 5 egistros. Buses. Memorias. 5.. egistros. Se denomina registro a una serie de flip-flops agrupados y con señales de control común. Se considera que se leerán o escribirán como una unidad. Se

Más detalles

INSTITUTO POLITÉCNICO NACIONAL ESCUELA SUPERIOR DE INGENIERIA MECANICA Y ELECTRICA INGENIERIA EN COMUNICACIONES Y ELECTRÓNICA ACADEMIA DE COMPUTACIÓN

INSTITUTO POLITÉCNICO NACIONAL ESCUELA SUPERIOR DE INGENIERIA MECANICA Y ELECTRICA INGENIERIA EN COMUNICACIONES Y ELECTRÓNICA ACADEMIA DE COMPUTACIÓN INSTITUTO POLITÉCNICO NACIONAL ESCUELA SUPERIOR DE INGENIERIA MECANICA Y ELECTRICA UNIDAD CULHUACAN INGENIERIA EN COMUNICACIONES Y ELECTRÓNICA ACADEMIA DE COMPUTACIÓN LABORATORIO DE CIRCUITOS DIGITALES

Más detalles

CIRCUITOS SECUENCIALES. Tema 6: ANÁLISIS Y DISEÑO DE CIRCUITOS SECUENCIALES SÍNCRONOS

CIRCUITOS SECUENCIALES. Tema 6: ANÁLISIS Y DISEÑO DE CIRCUITOS SECUENCIALES SÍNCRONOS 3 Tema 6: ANÁLII Y IEÑO E CIRCUITO ECUENCIALE ÍNCRONO Contenido: Elementos de memoria: biestables asíncronos y síncronos. Biestables JK, T,. Entradas asíncronas. Modelo general de máuina secuencial: máuinas

Más detalles

sistemas secuenciales

sistemas secuenciales 16. Análisis y diseño o de sistemas secuenciales Oliverio J. Santana Jaria Sistemas Digitales Ingeniería Técnica en Informática de Sistemas Curso 2006 2007 Introducción No resulta fácil deducir el funcionamiento

Más detalles

CIRCUITOS SECUENCIALES

CIRCUITOS SECUENCIALES LABORATORIO # 7 Realización: 16-06-2011 CIRCUITOS SECUENCIALES 1. OBJETIVOS Diseñar e implementar circuitos utilizando circuitos multivibradores. Comprender los circuitos el funcionamiento de los circuitos

Más detalles

Circuitos secuenciales. Circuitos secuenciales. Circuitos secuenciales. Elementos de memoria: Latches

Circuitos secuenciales. Circuitos secuenciales. Circuitos secuenciales. Elementos de memoria: Latches Circuitos secuenciales Los circuitos lógicos secuenciales contienen uno o más bloques lógicos combinacionales junto con elementos de memoria en un camino de realimentación con la lógica. Los elementos

Más detalles

Depuración de señales CIRCUITOS SISO. Circuito SISO. Circuito SIPO. Circuito PISO

Depuración de señales CIRCUITOS SISO. Circuito SISO. Circuito SIPO. Circuito PISO Depuración de señales CIRCUITOS SISO Circuito SISO Circuito SIPO Circuito PISO DEPURACIÓN DE SEÑALES Cuando tenemos muchas señales (o cables) es difícil depurar el comportamiento del sistema simplemente

Más detalles

Tema 7. SISTEMAS SECUENCIALES SISTEMAS SECUENCIALES SÍNCRONOS

Tema 7. SISTEMAS SECUENCIALES SISTEMAS SECUENCIALES SÍNCRONOS Fundamentos de Computadores. Sistemas Secuenciales. T7-1 INDICE: Tema 7. SISTEMAS SECUENCIALES INTRODUCCIÓN SISTEMAS SECUENCIALES SÍNCRONOS TIPOS DE BIESTABLES o TABLAS DE ECITACIÓN DE LOS BIESTABLES o

Más detalles

PROGRAMA DE CURSO Modelo 2009

PROGRAMA DE CURSO Modelo 2009 REQUISITOS: HORAS: 3 Horas a la semana CRÉDITOS: PROGRAMA(S) EDUCATIVO(S) QUE LA RECIBE(N): IETRO PLAN: 2009 FECHA DE REVISIÓN: Mayo de 2011 Competencia a la que contribuye el curso. DEPARTAMENTO: Departamento

Más detalles

Índice. Sistemas Electronicos Digitales 2 o Curso Ingeniería Técnica Industrial. Logica Modular Secuencial. Clasificación Circuitos Digitales

Índice. Sistemas Electronicos Digitales 2 o Curso Ingeniería Técnica Industrial. Logica Modular Secuencial. Clasificación Circuitos Digitales Sistemas Electronicos igitales 2 o Curso Ingeniería écnica Industrial Logica Modular Secuencial José Luis Rosselló Sanz Grupo de ecnología Electrónica Universitat de les Illes Balears Introducción Registros

Más detalles

SELECCIÓN DE PROBLEMAS

SELECCIÓN DE PROBLEMAS SELECCIÓN DE PROBLEMAS 1. Representación numérica 1.1. Convertir a hexadecimal y a binario las siguientes cantidades: a) 757.25 10 b) 123.17 10 1.2. Se dispone de palabras de 10 bits. Representar mediante

Más detalles

Laboratorio 4: Uso de una FPGA

Laboratorio 4: Uso de una FPGA Laboratorio 4: Uso de una FPGA Objetivos: Conocer y comprender la estructura interna de una FPGA y su tarjeta de desarrollo que será usada en el laboratorio, y los cuidados y recomendaciones para evitar

Más detalles

Registros y Contadores

Registros y Contadores Registros y Contadores Mario Medina C. mariomedina@udec.cl Registros Grupos de flip-flops con reloj común Almacenamiento de datos Desplazamiento de datos Construcción de contadores simples Como cada FF

Más detalles

Diseño de circuitos combinacionales

Diseño de circuitos combinacionales Diseño de circuitos combinacionales Mario Medina C. mariomedina@udec.cl Diseño de circuitos combinacionales Métodos de minimización vistos permiten obtener funciones de dos niveles Tópicos en diseño de

Más detalles

EIE 446 - SISTEMAS DIGITALES Tema 9: Contadores. Nombre del curso: Sistemas Digitales Nombre del docente: Héctor Vargas

EIE 446 - SISTEMAS DIGITALES Tema 9: Contadores. Nombre del curso: Sistemas Digitales Nombre del docente: Héctor Vargas EIE 446 - SISTEMAS DIGITALES Tema 9: ontadores Nombre del curso: Sistemas Digitales Nombre del docente: Héctor Vargas OBJETIVOS DE LA UNIDAD Describir la diferencia entre un contador asíncrono y uno síncrono.

Más detalles

TEMA 1 INTRODUCCIÓN A LOS SISTEMAS DIGITALES

TEMA 1 INTRODUCCIÓN A LOS SISTEMAS DIGITALES TEMA 1 INTRODUCCIÓN A LOS SISTEMAS DIGITALES Exponer los conceptos básicos de los fundamentos de los Sistemas Digitales. Asimilar las diferencias básicas entre sistemas digitales y sistemas analógicos.

Más detalles

DISEÑO DE MICROPROCESADORES

DISEÑO DE MICROPROCESADORES DISEÑO DE MICROPROCESADORES Jesús Savage Facultad de Ingeniería. Universidad Nacional Autónoma de México, UNAM. Gabriel Vázquez Facultad de Ingeniería. Universidad Nacional Autónoma de México, UNAM. PREFACIO

Más detalles

UD.-5. Circuitos secuenciales: análisis y diseño de registros y de contadores.

UD.-5. Circuitos secuenciales: análisis y diseño de registros y de contadores. UD.-5. Circuitos secuenciales: análisis y diseño de registros y de contadores. José Gorjón Índice Objetivos. Introducción. Análisis de registros de almacenamiento. Análisis de registros de desplazamiento.

Más detalles

Flip-flop SR con compuertas NAND y NOR. Por: Romero Reyes Roció Germana Laboratorio de Sistemas Digitales II Prof. J.

Flip-flop SR con compuertas NAND y NOR. Por: Romero Reyes Roció Germana Laboratorio de Sistemas Digitales II Prof. J. Flip-flop SR con compuertas NAND y NOR Por: Romero Reyes Roció Germana Laboratorio de Sistemas Digitales II Prof. J. Jesús Díaz Aceves Introducción Un circuito Flip Flop puede construirse con dos compuertas

Más detalles

CONTADORES Y REGISTROS

CONTADORES Y REGISTROS Capítulo 7 CONTADORES Y REGISTROS 7.. CONTADORES Un contador es un circuito secuencial cuya función es seguir una cuenta o conjunto predeterminado de estados como consecuencia de la aplicación de un tren

Más detalles

GUIAS ÚNICAS DE LABORATORIO ECUACIONES DE ESTADO AUTOR: ALBERTO CUERVO SANTIAGO DE CALI UNIVERSIDAD SANTIAGO DE CALI DEPARTAMENTO DE LABORATORIOS

GUIAS ÚNICAS DE LABORATORIO ECUACIONES DE ESTADO AUTOR: ALBERTO CUERVO SANTIAGO DE CALI UNIVERSIDAD SANTIAGO DE CALI DEPARTAMENTO DE LABORATORIOS GUIAS ÚNICAS DE LABORATORIO ECUACIONES DE ESTADO AUTOR: ALBERTO CUERVO SANTIAGO DE CALI UNIVERSIDAD SANTIAGO DE CALI DEPARTAMENTO DE LABORATORIOS ECUACIONES DE ESTADO Introducción Un circuito secuencial

Más detalles

Laboratorio 2: Mediciones Digitales

Laboratorio 2: Mediciones Digitales Objetivos: Laboratorio 2: Mediciones Digitales Conocer y utilizar con propiedad un osciloscopio de señal mixta. Manejar los conceptos de sincronización, disparo, nivel de disparo, y base de tiempo de un

Más detalles

MONOGRAFÍA CIENTÍFICA

MONOGRAFÍA CIENTÍFICA Diseño y Síntesis de Sistemas de Lógica Secuencial Autor: Jorge Portillo Meniz Profesor Titular de Escuela Universitaria Universidad de Las Palmas de Gran Canaria 2006 Jorge Portillo Meniz, 2006 SISTEMAS

Más detalles

PRÁCTICA 4. CONTADORES

PRÁCTICA 4. CONTADORES PRÁCTICA 4. CONTADORES 1. Objetivo En esta práctica se va a estudiar el funcionamiento de los circuitos secuenciales, tomando como ejemplo varios contadores. 2. Material necesario La práctica se realizará

Más detalles

EIE SISTEMAS DIGITALES Tema 7: Latches, Flip-Flops y Temporizadores. Nombre del curso: Sistemas Digitales Nombre del docente: Héctor Vargas

EIE SISTEMAS DIGITALES Tema 7: Latches, Flip-Flops y Temporizadores. Nombre del curso: Sistemas Digitales Nombre del docente: Héctor Vargas EIE 446 - SISTEMAS DIGITALES Tema 7: Latches, Flip-Flops y Temporizadores Nombre del curso: Sistemas Digitales Nombre del docente: Héctor Vargas OBJETIVOS DE LA UNIDAD Utilizar puertas lógicas para construir

Más detalles

Sistemas Digitales. Introducción. I.1 Definición. I.2 Diseño clásico y actual.

Sistemas Digitales. Introducción. I.1 Definición. I.2 Diseño clásico y actual. Introducción 1 Sistemas Digitales I.1 Definición. Denominamos sistema digital a aquél que realiza operaciones mediante dígitos, los cuales usualmente se representan como números binarios. Las principales

Más detalles

Problemas del Tema 1

Problemas del Tema 1 epartamento de Ingeniería Electrónica de Sistemas Informáticos y utomática Problemas del Tema.. Obtener las tablas de estado correspondientes a los siguientes diagramas: 0 -,0 0 0 -,0 -,0.2. Obtener los

Más detalles

FACULTAD DE INGENIERÍAS Y ARQUITECTURA ESCUELA ACADÉMICO PROFESIONAL DE INGENIERÍA ELECTRÓNICA Y TELECOMUNICACIONES : SISTEMAS DIGITALES I SÍLABO

FACULTAD DE INGENIERÍAS Y ARQUITECTURA ESCUELA ACADÉMICO PROFESIONAL DE INGENIERÍA ELECTRÓNICA Y TELECOMUNICACIONES : SISTEMAS DIGITALES I SÍLABO I.-DATOS GENERALES SÍLABO CARRERA PROFESIONAL : INGENIERÍA ELECTRÓNICA Y CÓDIGO CARRERA PROFESIONAL : 29 ASIGNATURA : CÓDIGO DE ASIGNATURA : 2902-29213 CÓDIGO DE SÍLABO : 2921330072014 Nº DE HORAS TOTALES

Más detalles

APUNTE: SISTEMAS DIGITALES

APUNTE: SISTEMAS DIGITALES PUNTE: SISTEMS DIGITLES ÁRE DE EET Página de 44 Confeccionado por: Ricardo Muñoz Toledo Docente Inacap Derechos Reservados Titular del Derecho: INCP N de inscripción en el Registro de Propiedad Intelectual

Más detalles

REGISTROS DE DESPLAZAMIENTO

REGISTROS DE DESPLAZAMIENTO REGISTROS DE DESPLAZAMIENTO Es un circuito digital que acepta datos binarios de una fuente de entrada y luego los desplaza, un bit a la vez, a través de una cadena de flip-flops. Este sistema secuencial

Más detalles

Análisis y Síntesis. Introducción a los Sistemas Lógicos y Digitales 2008

Análisis y Síntesis. Introducción a los Sistemas Lógicos y Digitales 2008 Introducción a los Sistemas Lógicos y Digitales 2008 Métodos de análisis: Tabla de verdad. Heurístico. Diagramas de estado. Simulación. Test del hardware. etc... Ejemplo de análisis heurístico (planteo

Más detalles

Práctica 4: CONTADORES

Práctica 4: CONTADORES Práctica 4: CONTADOES Introducción Biestables Son circuitos que tienen dos estados estables. Cada estado puede permanecer de forma indefinida. Son circuitos con memoria Clasificación: Asíncronos: no necesitan

Más detalles

UNIVERSIDAD AUTÓNOMA DE CHIAPAS LICENCIATURA EN SISTEMAS COMPUTACIONALES

UNIVERSIDAD AUTÓNOMA DE CHIAPAS LICENCIATURA EN SISTEMAS COMPUTACIONALES UNIVERSIDAD AUTÓNOMA DE CHIAPAS LICENCIATURA EN SISTEMAS COMPUTACIONALES Área de formación: Disciplinaria Unidad académica: Sistemas digitales Ubicación: Segundo semestre Clave: 1977 Horas semana-mes:

Más detalles

Proyecto de Electrónica. Contador digital de 0 a 9

Proyecto de Electrónica. Contador digital de 0 a 9 Proyecto de Electrónica Contador digital de 0 a 9 La finalidad del proyecto consiste en mostrar en un display un conteo de cero a nueve, donde la velocidad de conteo podrá ser regulada. Componentes a utilizar

Más detalles

Lógica y compuertas (Parte 2): Circuitos Combinacionales y Secuenciales

Lógica y compuertas (Parte 2): Circuitos Combinacionales y Secuenciales Práctica 4 Lógica y compuertas (Parte 2): Circuitos Combinacionales y Secuenciales Objetivos de la práctica: que el alumno domine Circuitos lógicos y diagramas de compuertas Introducción a equivalencias

Más detalles

SISTEMAS DIGITALES MÉTODOS DE DISEÑO LDD 2007-08 1. eman ta zabal zazu

SISTEMAS DIGITALES MÉTODOS DE DISEÑO LDD 2007-08 1. eman ta zabal zazu SISTEMAS DIGITALES MÉTODOS DE DISEÑO LDD 2007-08 1 SISTEMAS DIGITALES MÉTODOS DE DISEÑO Revisión de la metodología de diseño de sistemas digitales síncronos. Métodos de implementación de unidades de control:

Más detalles

Departamento de Tecnología Electrónica Universidad de Sevilla. Circuitos Electrónicos Digitales. Análisis y diseño de circuitos secuenciales

Departamento de Tecnología Electrónica Universidad de Sevilla. Circuitos Electrónicos Digitales. Análisis y diseño de circuitos secuenciales Circuitos Electrónicos Digitales Análisis y diseño de circuitos secuenciales Contenidos 1.Introducción 2.Biestables 3.Máquinas de estados finitos y circuitos secuenciales síncronos (CSS) 4.Diseño de CSS

Más detalles

UNIVERSIDAD NACIONAL DE PIURA INFORME FINAL TRABAJO DE INVESTIGACION

UNIVERSIDAD NACIONAL DE PIURA INFORME FINAL TRABAJO DE INVESTIGACION UNIVERSIDAD NACIONAL DE PIURA FACULTAD DE INGENIERIA INDUSTRIAL INFORME FINAL TRABAJO DE INVESTIGACION MODULO DE APOYO PARA EL CURSO ARQUITECTURA DE COMPUTADORAS EJECUTORES : INGº JORGE L. SANDOVAL RIVERA

Más detalles

TEMA I: INTRODUCCIÓN A LOS CIRCUITOS SECUENCIALES

TEMA I: INTRODUCCIÓN A LOS CIRCUITOS SECUENCIALES TEM I: INTROUIÓN LOS IRUITOS SEUENILES Hasta ahora, únicamente hemos visto circuitos combinacionales, es decir, circuitos en los que las salidas dependen única y exclusivamente de las combinaciones de

Más detalles

Diseño combinacional (Parte #2) Mapas de Karnaugh

Diseño combinacional (Parte #2) Mapas de Karnaugh Departamento de Electrónica Electrónica Digital Diseño combinacional (Parte #2) Mapas de Karnaugh Facultad de Ingeniería Bioingeniería Universidad Nacional de Entre Ríos Procedimiento de diseño de un circuito

Más detalles

Trabajo Práctico 1. 1) Convertir los siguientes números enteros escritos en binario a: I) Octal II) Decimal III) Hexadecimal

Trabajo Práctico 1. 1) Convertir los siguientes números enteros escritos en binario a: I) Octal II) Decimal III) Hexadecimal Electrónica igital Ingeniería Informática, Universidad Católica rgentina, 2 Trabajo Práctico ) Convertir los siguientes números enteros escritos en binario a: I) Octal II) ecimal III) Hexadecimal a) b)

Más detalles

Tema 5: Sistemas secuenciales

Tema 5: Sistemas secuenciales Tema 5: Circuitos secuenciales 5.1 Introducción: tablas de transición, cronogramas. Hemos visto como en los circuitos combinacionales, las salidas sólo dependen de las entradas en el mismo instante de

Más detalles

UNIVERSIDAD NACIONAL MAYOR DE SAN MARCOS (Universidad del Perú, Decana de América)

UNIVERSIDAD NACIONAL MAYOR DE SAN MARCOS (Universidad del Perú, Decana de América) UNIVERSIDAD NACIONAL MAYOR DE SAN MARCOS (Universidad del Perú, Decana de América) FACULTAD DE INGENIERIA DE SISTEMAS E INFORMATICA Escuela Académico Profesional de Ingeniería de Sistemas SILABO 1. ESPECIFICACIONES

Más detalles

UNIVERSIDAD DE PUERTO RICO EN AGUADILLA Departamento de Electrónica, Física y Control de Calidad Bachillerato en Tecnología Electrónica PRONTUARIO

UNIVERSIDAD DE PUERTO RICO EN AGUADILLA Departamento de Electrónica, Física y Control de Calidad Bachillerato en Tecnología Electrónica PRONTUARIO UNIVERSIDAD DE PUERTO RICO EN AGUADILLA Departamento de Electrónica, Física y Control de Calidad Bachillerato en Tecnología Electrónica PRONTUARIO I. TITULO DEL CURSO: Circuitos Digitales II. CODIFICACION:

Más detalles

DISEÑO CURRICULAR ELECTRÓNICA DIGITAL

DISEÑO CURRICULAR ELECTRÓNICA DIGITAL DISEÑO CURRICULAR ELECTRÓNICA DIGITAL FACULTAD (ES) CARRERA (S) Ingeniería Computación y Sistemas. CÓDIGO HORAS TEÓRICAS HORAS PRÁCTICAS UNIDADES DE CRÉDITO SEMESTRE 116243 02 02 03 VI PRE-REQUISITO ELABORADO

Más detalles

UNIVERSIDAD NACIONAL FEDERICO VILLARREAL FACULTAD DE INGENIERÍA ELECTRÓNICA E INFORMÁTICA

UNIVERSIDAD NACIONAL FEDERICO VILLARREAL FACULTAD DE INGENIERÍA ELECTRÓNICA E INFORMÁTICA UNIVERSIDAD NACIONAL FEDERICO VILLARREAL FACULTAD DE INGENIERÍA ELECTRÓNICA E INFORMÁTICA Escuela Académico Profesional de Ingeniería de Telecomunicaciones SILABO ASIGNATURA : SISTEMAS DIGITALES I CÓDIGO:8F0056

Más detalles

TEMA - 3 LÓGICA SECUENCIAL. REGISTROS DE DESPLAZAMIENTO Y CONTADORES. 1.- Introducción.

TEMA - 3 LÓGICA SECUENCIAL. REGISTROS DE DESPLAZAMIENTO Y CONTADORES. 1.- Introducción. T-3 Lógica ecuencial. egistros de Desplazamiento y Contadores TEMA - 3 LÓGICA ECUENCIAL. EGITO DE DEPLAZAMIENTO Y CONTADOE..- Introducción. Hemos visto que en la lógica combinacional las salidas están

Más detalles

MATERIAL COMPLEMENTARIO TEMA I Niveles de abstracción en la descripción de sistemas digitales

MATERIAL COMPLEMENTARIO TEMA I Niveles de abstracción en la descripción de sistemas digitales MATERIAL COMPLEMENTARIO TEMA I Niveles de abstracción en la descripción de sistemas digitales Niveles de Abstracción en la Descripción de Sistemas Digitales 1 Metodologías de Diseño y Herramientas de CAD

Más detalles

Circuitos Electrónicos Digitales E.T.S.I. Telecomunicación Universidad Politécnica de Madrid. Circuitos Secuenciales

Circuitos Electrónicos Digitales E.T.S.I. Telecomunicación Universidad Politécnica de Madrid. Circuitos Secuenciales Circuitos Electrónicos Digitales E.T.S.I. Telecomunicación Universidad Politécnica de Madrid Circuitos Secuenciales Circuitos secuenciales. Biestables. Registros. Contadores. Registros de desplazamiento

Más detalles

INDICE CYNTHIA P.GUERRERO SAUCEDO PALOMA G. MENDOZA VILLEGAS 1

INDICE CYNTHIA P.GUERRERO SAUCEDO PALOMA G. MENDOZA VILLEGAS 1 INDICE UNIDAD 1: SISTEMAS NUMERICOS 1 SISTEMA BINARIO...3 1.1 CONVERSION DE DECIMAL A BINARIO...4 1.2 CONVERSION DE BINARIO A DECIMAL...6 1.3 ARITMETICA BINARIA.. 102 2. SISTEMA HEXADECIMAL......7 2.1

Más detalles

Tema 14: Sistemas Secuenciales

Tema 14: Sistemas Secuenciales Tema 14: Sistemas Secuenciales Objetivos: (CONTADORES) Introducción. Características de los contadores. Contadores Asíncronos. Contadores Síncronos. 1 INTRODUCCIÓN Los contadores son sistemas secuenciales

Más detalles

2, Detallar los diversos tipos de Flip Flop

2, Detallar los diversos tipos de Flip Flop Profesor/a(s) Ramon Flores Pino Nivel o Curso/s 4º D Unidad/Sub Unidad 3.- Circuitos de lógica Secuencial GUÍA Nº Contenidos 1.- Temporizador 555 2. Flip Flops, Contadores Aprendizajes Esperados 1 Explicar

Más detalles

Circuitos secuenciales

Circuitos secuenciales UNIDAD 6 Circuitos secuenciales Introducción a la unidad En los capítulos anteriores hemos manejado los elementos básicos que conforman un sistema digital. Por un lado el manejo binario de la información

Más detalles

Electrónica Digital Departamento de Electrónica VHDL. Bioingeniería Facultad de Ingeniería - UNER

Electrónica Digital Departamento de Electrónica VHDL. Bioingeniería Facultad de Ingeniería - UNER Electrónica Digital Departamento de Electrónica VHDL Bioingeniería Facultad de Ingeniería - UNER VHDL VHSIC Hardware Design Language VHSIC Very High Speed Integrated Circuits Verilog Handel C Celóxica

Más detalles

Otra importante división de los circuitos secuenciales es entre sincronos y asíncronos

Otra importante división de los circuitos secuenciales es entre sincronos y asíncronos 1. CIRCUITOS SECUENCIALES 1.1. Definición, características y constitución de los circuitos secuenciales Los circuitos secuénciales, de la misma forma que los combinacionales, están constituidos por puertas

Más detalles

ELO211: Sistemas Digitales. Tomás Arredondo Vidal

ELO211: Sistemas Digitales. Tomás Arredondo Vidal ELO211: Sistemas Digitales Tomás Arredondo Vidal Este material está basado en: textos y material de apoyo: Contemporary Logic Design 1 st / 2 nd edition. Gaetano Borriello and Randy Katz. Prentice Hall,

Más detalles

Módulos basados en circuitos. secuenciales. Introducción. Contenido. Objetivos. Capítulo. secuenciales

Módulos basados en circuitos. secuenciales. Introducción. Contenido. Objetivos. Capítulo. secuenciales Capítulo Módulos basados en circuitos en circuitos Módulos basados Introducción Así como en el Capítulo 5 analizamos módulos basados en puertas, ahora toca referirnos a módulos construidos con biestables

Más detalles

Circuitos secuenciales. básicos. Introducción. Objetivos. Contenido. Capítulo. básicos

Circuitos secuenciales. básicos. Introducción. Objetivos. Contenido. Capítulo. básicos Capítulo Circuitos secuenciales Circuitos secuenciales Introducción Con este capítulo comenzamos una andadura importante en este mundillo de los sistemas binarios ya que aprenderemos un concepto nuevo:

Más detalles

Álgebra Booleana y Simplificación Lógica

Álgebra Booleana y Simplificación Lógica Álgebra Booleana y Simplificación Lógica M. en C. Erika Vilches Parte 2 Simplificación utilizando Álgebra Booleana Simplificar la expresión AB + A(B + C) + B(B + C) 1. Aplicar la ley distributiva al segundo

Más detalles

INDICE Capitulo 1. Álgebra de variables lógicas Capitulo 2. Funciones lógicas

INDICE Capitulo 1. Álgebra de variables lógicas Capitulo 2. Funciones lógicas INDICE Prefacio XV Capitulo 1. Álgebra de variables lógicas 1 1.1. Variables y funciones 1 1.2. Variables lógicas 2 1.3. Valores de una variable lógica 2 1.4. Funciones de una variable lógica 3 1.5. Funciones

Más detalles

Flip-Flops. Flops. Introducción n a los Sistemas Lógicos y Digitales 2009

Flip-Flops. Flops. Introducción n a los Sistemas Lógicos y Digitales 2009 Introducción n a los Sistemas Lógicos y Digitales 29 Sergio Noriega Introducción a los Sistemas Lógicos y Digitales - 28 CLASIFICACIÓN SEGÚN TIPO DE SINCRONISMO FLIP-FLOPS ASINCRÓNICOS (No hay entrada

Más detalles

Electrónica Digital Área de Ingeniería Mecatrónica Carrera/programa de Ingeniería Electrónica e Ingeniería Electromecánica

Electrónica Digital Área de Ingeniería Mecatrónica Carrera/programa de Ingeniería Electrónica e Ingeniería Electromecánica Programa del curso MT-4001 Electrónica Digital Área de Ingeniería Mecatrónica Carrera/programa de Ingeniería Electrónica e Ingeniería Electromecánica I parte: Aspectos relativos al plan de estudios 1 Datos

Más detalles

DESCRIPCIÓN DE CIRCUITOS DIGITALES

DESCRIPCIÓN DE CIRCUITOS DIGITALES DESCRIPCIÓN DE CIRCUITOS DIGITALES Circuitos combinacionales Circuitos secuenciales Organización del diseño. Diseño genérico Operaciones iterativas Autores: Luis Entrena, Celia López, Mario García, Enrique

Más detalles

Problemas de Electrónica Digital Tema 5 Flip-Flops. Circuitos Secuenciales Síncronos

Problemas de Electrónica Digital Tema 5 Flip-Flops. Circuitos Secuenciales Síncronos UNIVERSIDAD DE VALLADOLID Departamento de Electricidad y Electrónica Problemas de Electrónica Digital Tema 5 Flip-Flops. Circuitos Secuenciales Síncronos. - Se desea disponer de un contador asíncrono de

Más detalles

Electrónica Digital II. Arquitecturas de las Celdas Lógicas. Octubre de 2014

Electrónica Digital II. Arquitecturas de las Celdas Lógicas. Octubre de 2014 Electrónica Digital II Arquitecturas de las Celdas Lógicas Octubre de 2014 Estructura General de los FPLDs Un FPLD típico contiene un número de celdas dispuestas en forma matricial, en las cuales se pueden

Más detalles

ELO211: Sistemas Digitales. Tomás Arredondo Vidal 1er Semestre 2009

ELO211: Sistemas Digitales. Tomás Arredondo Vidal 1er Semestre 2009 ELO211: istemas Digitales Tomás Arredondo Vidal 1er emestre 2009 Este material está basado en: textos y material de apoyo: Contemporary Logic Design 1 st / 2 nd edition. Gaetano Borriello and andy Katz.

Más detalles

TECNICO SUPERIOR UNIVERSITARIO EN MECATRÓNICA

TECNICO SUPERIOR UNIVERSITARIO EN MECATRÓNICA TECNICO SUPERIOR UNIVERSITARIO EN MECATRÓNICA HOJA DE ASIGNATURA CON DESGLOSE DE UNIDADES TEMÁTICAS 1. Nombre de la asignatura Sistemas digitales 2. Competencias Desarrollar y conservar sistemas automatizados

Más detalles

Electrónica Digital. Tema 3. Diseño Síncrono

Electrónica Digital. Tema 3. Diseño Síncrono Electrónica igital Tema 3 iseño Síncrono iseño Síncrono Régimen transitorio en los circuitos digitales Concepto de espurio. Clasificación Alternativas para evitarlos iseño síncrono de Sistemas igitales

Más detalles

TEMA 11. CIRCUITOS ARITMÉTICOS TICOS DIGITALES

TEMA 11. CIRCUITOS ARITMÉTICOS TICOS DIGITALES TEM. CIRCUITOS RITMÉTICOS TICOS DIGITLES http://www.tech-faq.com/wp-content/uploads/images/integrated-circuit-layout.jpg IEEE 25 niversary: http://www.flickr.com/photos/ieee25/with/2809342254/ TEM. CIRCUITOS

Más detalles

TEMA V: SÍNTESIS DE SISTEMAS SECUENCIALES

TEMA V: SÍNTESIS DE SISTEMAS SECUENCIALES TEMA V: SÍNTESIS DE SISTEMAS SECUENCIALES Una vez que hemos visto como se puede resolver el problema de análisis de sistemas secuenciales, vamos a abordar el problema complementario, es decir, el diseño

Más detalles

Contadores. Introducción n a los Sistemas Lógicos y Digitales 2009

Contadores. Introducción n a los Sistemas Lógicos y Digitales 2009 Introducción n a los Sistemas Lógicos y Digitales 29 Sergio Noriega Introducción a los Sistemas Lógicos y Digitales - 29 Contador digital: Es todo circuito o dispositivo que genera una serie de combinaciones

Más detalles

CONTENIDO Capitulo 2. DE CONMUTACION

CONTENIDO Capitulo 2. DE CONMUTACION CONTENIDO 1.1. Introduccion 1 1.2. Postulados del Algebra de Boole 5 1.3. Algebra de Boole de dos elementos... 7 1.4. Dualidad 8 1.5. Teoremas del Algebra de Boole 8 1.6. La teoria de conjuntos como un

Más detalles

Prerrequisito: Número de Horas Totales 160 Hrs Teoría: 120 Práctica: 40 Horas semanales: 8 Créditos: 17

Prerrequisito: Número de Horas Totales 160 Hrs Teoría: 120 Práctica: 40 Horas semanales: 8 Créditos: 17 Universidad de Guadalajara Centro Universitario de Ciencias Exactas e Ingenierías División de Electrónica y Computación Departamento de Electrónica Ingeniería en Comunicaciones y Electrónica DISEÑO DIGITAL

Más detalles

CODIFICADORES CON PRIORIDAD. Grupo 2

CODIFICADORES CON PRIORIDAD. Grupo 2 CODIFICADORES CON PRIORIDAD Grupo 2 Descripción Los codificadores son circuitos combinacionales generalmente de 2 N entradas y N salidas, donde las salidas son el código binario correspondiente al valor

Más detalles

ÍNDICE DISEÑO DE CONTADORES SÍNCRONOS JESÚS PIZARRO PELÁEZ

ÍNDICE DISEÑO DE CONTADORES SÍNCRONOS JESÚS PIZARRO PELÁEZ ELECTRÓNICA DIGITAL DISEÑO DE CONTADORES SÍNCRONOS JESÚS PIZARRO PELÁEZ IES TRINIDAD ARROYO DPTO. DE ELECTRÓNICA ÍNDICE ÍNDICE... 1 1. LIMITACIONES DE LOS CONTADORES ASÍNCRONOS... 2 2. CONTADORES SÍNCRONOS...

Más detalles

Proyecto final Diseño de un circuito secuencial. utilizando un contador binario de cuatro bits

Proyecto final Diseño de un circuito secuencial. utilizando un contador binario de cuatro bits Instituto tecnológico de Oaxaca Electrónica digital II ECC047 Proyecto final Diseño de un circuito secuencial utilizando un contador binario de cuatro bits Catedrático: Alumno: Rodríguez Calvo Enrique

Más detalles

ASIGNATURA: ELECTRÓNICA DIGITAL PROGRAMA ACADÉMICO: INGENIERIA EN MECATRÓNICA TIPO EDUCATIVO: INGENIERIA MODALIDAD: MIXTA

ASIGNATURA: ELECTRÓNICA DIGITAL PROGRAMA ACADÉMICO: INGENIERIA EN MECATRÓNICA TIPO EDUCATIVO: INGENIERIA MODALIDAD: MIXTA INSTITUTO UNIVERSITARIO PUEBLA HOJA: 1 DE 3 PROGRAMA ACADÉMICO: INGENIERIA EN MECATRÓNICA TIPO EDUCATIVO: INGENIERIA MODALIDAD: MIXTA SERIACIÓN: NINGUNA CLAVE DE LA ASIGNATURA: IM45 CICLO: OCTAVO CUATRIMESTRE

Más detalles

LATCHES, FLIP FLOPS, CONTADORES Y REGISTROS

LATCHES, FLIP FLOPS, CONTADORES Y REGISTROS PONIFICIA UNIVEIA CAÓLICA EL PEÚ EUIO GENEALE CIENCIA ANIEL LLAMOCCA LACE, FLIP FLOP, CONAOE Y EGIO e empieza describiendo el Latch con habilitador y la derivación del Latch tipo a partir del Latch. Posteriormente

Más detalles

TECNICO SUPERIOR UNIVERSITARIO EN TECNOLOGIAS DE LA INFORMACION Y COMUNICACIÓN ÁREA REDES Y TELECOMUNICACIONES.

TECNICO SUPERIOR UNIVERSITARIO EN TECNOLOGIAS DE LA INFORMACION Y COMUNICACIÓN ÁREA REDES Y TELECOMUNICACIONES. TECNICO SUPERIOR UNIVERSITARIO EN TECNOLOGIAS DE LA INFORMACION Y COMUNICACIÓN ÁREA REDES Y TELECOMUNICACIONES. HOJA DE ASIGNATURA CON DESGLOSE DE UNIDADES TEMÁTICAS 1. Nombre de la asignatura Sistemas

Más detalles

TEMA IV: SÍNTESIS HARDWARE

TEMA IV: SÍNTESIS HARDWARE TEMA IV: SÍNTES HARDWARE Especificaciones Formato intermedio Partición HW/SW LA SÍNTES HARDWARE ES LA TAREA DE PASAR DE UN DOMINIO DE ABSTRACCIÓN A OTRO COMPORTAMIENTO -> ESTRUCTURA Código Implementación

Más detalles

TECNICO SUPERIOR UNIVERSITARIO EN MECATRÓNICA ÁREA AUTOMATIZACIÓN

TECNICO SUPERIOR UNIVERSITARIO EN MECATRÓNICA ÁREA AUTOMATIZACIÓN TECNICO SUPERIOR UNIVERSITARIO EN MECATRÓNICA ÁREA AUTOMATIZACIÓN HOJA DE ASIGNATURA CON DESGLOSE DE UNIDADES TEMÁTICAS Pág. 1 de 23 1. Nombre de la asignatura Sistemas digitales II. 2. Competencias Implementar

Más detalles

V. Elementos de la Arquitectura

V. Elementos de la Arquitectura V. Elementos de la Arquitectura 1 Tipos de Datos Todos los sistemas digitales manejan la información como bits o vectores de bits. Sin embargo no es posible ni conveniente usar sólo estos tipos para todos

Más detalles

Tema 6: Circuitos Digitales BásicosB. Escuela Politécnica Superior Ingeniería Informática Universidad Autónoma de Madrid

Tema 6: Circuitos Digitales BásicosB. Escuela Politécnica Superior Ingeniería Informática Universidad Autónoma de Madrid Tema 6: Circuitos Digitales BásicosB Ingeniería Informática Universidad utónoma de Madrid O B J E T I V O S Circuitos digitales básicosb Comprender las funciones lógicas elementales Habilidad para diseñar

Más detalles

Sistemas Electrónicos Digitales

Sistemas Electrónicos Digitales Sistemas Electrónicos Digitales Profesor: Carlos Herrera C. I. Unidad COMPUERTAS LOGICAS Las compuertas lógicas son dispositivos que operan con aquellos estados lógicos Binarios y que funcionan igual que

Más detalles

Universidad de Guanajuato FIMEE. Electrónica Digital II

Universidad de Guanajuato FIMEE. Electrónica Digital II Universidad de Guanajuato FIMEE Departamento de Electrónica Manual de prácticas Electrónica Digital II Ing. Dr. René de J. Romero Troncoso Verano 2006. Contenido: Introducción 3 Recomendaciones generales

Más detalles

Descripción en VHDL de circuitos aritméticos para campos finitos GF(2 m )

Descripción en VHDL de circuitos aritméticos para campos finitos GF(2 m ) Descripción en VHDL de circuitos aritméticos para campos finitos GF(2 m ) Presenta: Mario Alberto García-Martínez 1 Contenido Introducción Generalidades El exponenciador para GF(2 m ) El multiplicador

Más detalles

6 10 3,5 2,0 4,5. PROGRAMA DE CURSO Código Nombre EL 4002. Sistemas Digitales Nombre en Inglés Digital Systems SCT

6 10 3,5 2,0 4,5. PROGRAMA DE CURSO Código Nombre EL 4002. Sistemas Digitales Nombre en Inglés Digital Systems SCT PROGRAMA DE CURSO Código Nombre EL 4002 Sistemas Digitales Nombre en Inglés Digital Systems SCT Unidades Horas de Horas Docencia Horas de Trabajo Docentes Cátedra Auxiliar Personal 6 10 3,5 2,0 4,5 Requisitos

Más detalles

Discusión. Modelo de una compuerta. Arquitecturas de Computadores Prof. Mauricio Solar. Temario. ...Introducción

Discusión. Modelo de una compuerta. Arquitecturas de Computadores Prof. Mauricio Solar. Temario. ...Introducción 0-06-200 Temario Arquitecturas de Computadores Prof. Mauricio Solar 5 Componentes igitales Estructurados Introducción 2 Registros 3 Multiplexores 4 Codificadores y ecodificadores 5 Archivos de Registros

Más detalles