Técnicas de Programación Hardware: CAD para FPGAs y CPLDs. Clase 1: Lógica Configurable

Tamaño: px
Comenzar la demostración a partir de la página:

Download "Técnicas de Programación Hardware: CAD para FPGAs y CPLDs. Clase 1: Lógica Configurable"

Transcripción

1 Técnicas de Programación Hardware: CAD para FPGAs y CPLDs Clase 1: Lógica Configurable Por: Nelson Acosta & Daniel Simonelli UNICEN - Tandil

2 Organización del curso Clases teórico/prácticas: 1 hora de teoría. 2 horas de práctica usando el kit de Xilinx. Objetivos del curso: Presentación del hardware configurable. Familiarización con las herramientas de diseño. Conocimiento del diseño usando FPGAs. Diseño de un procesador RISC completo en FPGA. Forma de aprobación: trabajo en laboratorio. 2

3 Introducción (1) Diseños de software Requerimientos del usuario Aplicación Requerimientos de entrada/salida Requerimientos de tiempo 3

4 Introducción (2) Microcomputadoras CISC o RISC o MISC Microcontroladores CISC o RISC o MISC Microcontroladores dedicados (CISC o RISC o MISC) Co-procesadores Procesadores acoplados Procesadores a medida (o dedicados) 4

5 Válvulas Transistores Introducción (3) Integrados estándar (TTL, CMOS) Microprocesador (8080,...) 80x86, procesador COPIABLE (razón por la cual aparecieron los CLONES) ASIC FPGAs y CPLDs PROCESADORES re-configurables 5

6 Introducción (4) PROCESADORES reconfigurables Placa de múltiples propósitos Re-programable Programable por el usuario (diseñador) RAM propia y RAM externa Hasta más de de puertas equivalentes Gran cantidad de pines de entrada/salida 6

7 Introducción (5) PROCESADORES re-configurables Sistemas de control en TIEMPO REAL Sistemas de control dedicados Procesador de cálculo a medida Análisis de imágenes en TIEMPO REAL Sistemas de visión por computadora Redes neuronales y sistemas difusos de TR Algoritmos genéticos 7

8 FCCM (1) FPGA-based CUSTOM COMPUTING MACHINES Características principales: Arreglo (uni/bi/tri)-dimensional de FPGAs Interfáz al bus de una computadora Interfáz a grandes bancos de memoria Interfáz a sistemas de I/O masivo de datos Gran capacidad de manejo de I/O 8

9 FCCM (2) Premisas principales de diseñadores de FCCM Placas básicas interconectadas Escalabilidad (de 1 a 64 placas básicas) Bancos de RAM por FPGA o por placa básica (de 4 a 128 MBytes) Arquitectura BIT-SLICE para procesos en pipelining. 9

10 FCCM (3) Placa de la Pammette Interfáz de bus FPGA Banco de RAM 10

11 FCCM (4) V Merack 11

12 FPGA FCCM (5) Banco de RAM Bus RPM Banco de RAM 12

13 FCCM (6) RPM 9 Placas Bus inter-placas 13

14 FCCM (7) TERAMAC 14

15 Teramac board FCCM (8) FPGA RAM RAM Conexiones este/oeste RAM 15

16 XESS FCCM (9) 16

17 XESS FCCM (10) 17

18 FCCM (11) XESS 18

19 Xilinx Tools (1) Herramientas de Diseño Captura esquemática (Sistemas CAD, CAE) Sistemas de generación automática (Máquinas de estados, ALUs, Multiplicadores) Lista de conexiones (net list: edif, xnf,...) HDL: lenguajes de descripción de hardware (VHDL, Handel-C, ABEL, Verilog, Hardware- C,...) 19

20 Xilinx Tools (2) Ambientes de desarrollo Definición del diseño (HDL, esquema,...) Simulación lógica Implementación tecnológica (mapeo tecnológico, place & route, análisis retardos, generación implementación) Simulación física Configuración / Materialización física 20

21 Xilinx Tools (3) Xilinx Foundation Series versión 1.4 CAE: Editor de esquemas (glue-logic like) Librería de componentes (banco de trabajo) M1 (realiza el mapeo tecnológico del diseño) FPGA: xc4005e, con encapsulado PLCC 84 Interfáz TTL / CMOS 21

22 Xilinx Tools (4) Librería de componentes Puertas lógicas (and, inversores, nand, or, nor, xor, multiplexores,...) Memorias (flip flops, ram, rom, registros, latch, codificadores, shift-registers,...) Cálculo aritmético (sumadores, restadores, comparadores, contadores,...) Elementos de entrada/salida (buffers, pads) 22

23 Xilinx Tools (5) Flujo de diseño tradicional Especificaciones Definir I/O Crear tablas de verdad Obtener ecuaciones Diseño en puertas Simular circuito Construir circuito Debug circuito Flujo de diseño para FPGAs Especificaciones Definir I/O Crear diseño (esq, hdl) Simulación funcional Mapeo y Place&Route Simulación temporal Cargar el diseño Debug del circuito 23

24 Creación de un proyecto, usando el Xilinx Foundation Project Manager. File Nombre New Project Xilinx Tools (6) Icono de la Herramienta Directorio Componente 24

25 Xilinx Tools (7) Projecto prac00 listo para comenzar el diseño Editor de esquemas HDL M1-Design Manager Simulador Funcional Simulador Temporal Editor de Máquinas de Estado 25

26 Xilinx Tools (8) Editor de esquemas. Jerarquía Simulador Librerías Cables Buses Rótulos Gnd/Vcc Gráficos 26

27 Xilinx Tools (9) Acceso a las funciones de librería. En este caso se accede a un sumador de 16 bits, ADD16. Pegado del componente Descripción Acceso por nombre o parcial 27

28 Xilinx Tools (10) Esquema completo del diseño de un sumador de 16 bits. 28

29 Xilinx Tools (11) Administrador de Diseños, para comenzar la implementación. Design/Implement entonces... 29

30 Xilinx Tools (12) Design Manager, completadas las etapas de: Traducción Mapeo Tecnológico Place&Route Configuración 30

31 Xilinx Tools (13) Completada la etapa del Flujo de Diseño, se retorna al Design Manager. Design Flow Timing Analyser PROM Generator Hardware Debugger EPIC 31

32 Fin 32

Técnicas de Programación Hardware: CAD para FPGAs y CPLDs

Técnicas de Programación Hardware: CAD para FPGAs y CPLDs Técnicas de Programación Hardware: CAD para FPGAs y CPLDs Clase 4: FPGAs Por: Nelson Acosta & Daniel Simonelli UNICEN - Tandil - 1999 1 Implementación de Sistemas Procesador convencional. Economico, conjunto

Más detalles

Dispositivos Lógicos Programables (FPGAs) Guillermo Güichal Emtech www.emtech.com.ar

Dispositivos Lógicos Programables (FPGAs) Guillermo Güichal Emtech www.emtech.com.ar Dispositivos Lógicos Programables (FPGAs) Guillermo Güichal Emtech www.emtech.com.ar Temario Introducción Circuitos Digitales FPGAs Flujo y Herramientas de Diseño Diseño para Síntesis Simulación Ejemplos

Más detalles

CURSO DISEÑO DE SISTEMAS DIGITALES MEDIANTE VHDL PARA SU IMPLEMENTACIÓN CON FPGAS. 40 horas (15 horas teoría + 25 horas práctica)

CURSO DISEÑO DE SISTEMAS DIGITALES MEDIANTE VHDL PARA SU IMPLEMENTACIÓN CON FPGAS. 40 horas (15 horas teoría + 25 horas práctica) CURSO DISEÑO DE SISTEMAS DIGITALES MEDIANTE VHDL PARA SU IMPLEMENTACIÓN CON FPGAS 40 horas (15 horas teoría + 25 horas práctica) OBJETIVOS Aprendizaje del lenguaje VHDL para el diseño de sistemas digitales

Más detalles

El tamaño, estructura, número de bloques y la cantidad y conectividad de las conexiones varian en las distintas arquitecturas.

El tamaño, estructura, número de bloques y la cantidad y conectividad de las conexiones varian en las distintas arquitecturas. Que es una FPGA? Las FPGA s (Field Programmable Gate Array) Son dispositivos lógicos de propósito general programable por los usuarios, compuesto de bloques lógicos comunicados por conexiones programables.

Más detalles

Laboratorio de Diseño de Sistemas Digitales

Laboratorio de Diseño de Sistemas Digitales Proceso de Diseño Laboratorio de Diseño de Sistemas Digitales I Semestre 2008 Ing. Gabriela Ortiz L. Diseño Implementación Depuración Diseño: Concepto inicial. Cuál es la función que lleva a cabo el objeto?

Más detalles

SINTESIS Y DESCRIPCIÓN DE CIRCUITOS DIGITALES UTILIZANDO VHDL ANTECEDENTES

SINTESIS Y DESCRIPCIÓN DE CIRCUITOS DIGITALES UTILIZANDO VHDL ANTECEDENTES ANTECEDENTES En los últimos diez años la industria electrónica ha tenido una gran evolución en el desarrollo de sistemas digitales; desde computadoras personales, sistemas de audio y vídeo hasta dispositivos

Más detalles

Introducción a FPGAs. Contenido

Introducción a FPGAs. Contenido Introducción a FPGAs Dra. Claudia Feregrino cferegrino@inaoep.mx Contenido 1. FPGA 2. Arquitectura genérica 3. Celda lógica 4. Field Programmable 5. Cómo se programa un FPGA 6. Herramientas de diseño 7.

Más detalles

LÓGICA PROGRAMABLE. Introducción Simple PLDs Complex PLDs FPGAs. Dpto. Ingeniería Electrónica y Comunicaciones

LÓGICA PROGRAMABLE. Introducción Simple PLDs Complex PLDs FPGAs. Dpto. Ingeniería Electrónica y Comunicaciones Introducción Simple PLDs Complex PLDs FPGAs Diseño tradicional: basado en CIs estándar SSI/MSI Obtención de la función lógica Reducción a términos producto Minimización: Número de integrados Retardo de

Más detalles

TECNICO SUPERIOR UNIVERSITARIO EN TECNOLOGIAS DE LA INFORMACION Y COMUNICACIÓN ÁREA REDES Y TELECOMUNICACIONES.

TECNICO SUPERIOR UNIVERSITARIO EN TECNOLOGIAS DE LA INFORMACION Y COMUNICACIÓN ÁREA REDES Y TELECOMUNICACIONES. TECNICO SUPERIOR UNIVERSITARIO EN TECNOLOGIAS DE LA INFORMACION Y COMUNICACIÓN ÁREA REDES Y TELECOMUNICACIONES. HOJA DE ASIGNATURA CON DESGLOSE DE UNIDADES TEMÁTICAS 1. Nombre de la asignatura Sistemas

Más detalles

Electrónica Digital II

Electrónica Digital II Electrónica Digital II M. C. Felipe Santiago Espinosa Aplicaciones de los FPLDs Octubre / 2014 Aplicaciones de los FPLDs Los primeros FPLDs se usaron para hacer partes de diseños que no correspondían a

Más detalles

INDICE 1. Conceptos Introductorias 2. Sistemas Numéricos y Códigos 3. Compuertas Lógicas y Álgebras Booleana 4. Circuitos Lógicos Combinatorios

INDICE 1. Conceptos Introductorias 2. Sistemas Numéricos y Códigos 3. Compuertas Lógicas y Álgebras Booleana 4. Circuitos Lógicos Combinatorios INDICE 1. Conceptos Introductorias 1 1.1. Representaciones numéricas 3 1.2. Sistemas digitales y analógicos 4 1.3. Sistemas numéricos digitales 6 1.4. Representación de cantidades binarias 10 1.5. Circuitos

Más detalles

PROGRAMA DE CURSO Modelo 2009

PROGRAMA DE CURSO Modelo 2009 REQUISITOS: HORAS: 3 Horas a la semana CRÉDITOS: PROGRAMA(S) EDUCATIVO(S) QUE LA RECIBE(N): IETRO PLAN: 2009 FECHA DE REVISIÓN: Mayo de 2011 Competencia a la que contribuye el curso. DEPARTAMENTO: Departamento

Más detalles

Breve Curso de VHDL. M. C. Felipe Santiago Espinosa. Profesor Investigador UTM

Breve Curso de VHDL. M. C. Felipe Santiago Espinosa. Profesor Investigador UTM Breve Curso de VHDL M. C. Felipe Santiago Espinosa Profesor Investigador UTM Noviembre de 2007 1 Orden del curso 1. Introducción al diseño con VHDL. 2. Unidades de diseño en VHDL. 3. Señales. 4. Especificación

Más detalles

UNIVERSIDAD NACIONAL FEDERICO VILLARREAL FACULTAD DE INGENIERÍA ELECTRÓNICA E INFORMÁTICA SÍLABO

UNIVERSIDAD NACIONAL FEDERICO VILLARREAL FACULTAD DE INGENIERÍA ELECTRÓNICA E INFORMÁTICA SÍLABO SÍLABO ASIGNATURA: MICROELECTRÓNICA CÓDIGO: 8F0108 1. DATOS GENERALES 1.1. DEPARTAMENTO ACADÉMICO : Ing. Electrónica e Informática 1.2. ESCUELA PROFESIONAL : Ingeniería de Mecatrónica 1.3. CICLO DE ESTUDIOS

Más detalles

DISPOSITIVOS DE LÓGICA PROGRAMABLES EN CAMPO (FPGA)

DISPOSITIVOS DE LÓGICA PROGRAMABLES EN CAMPO (FPGA) DISPOSITIVOS DE LÓGICA PROGRAMABLES EN CAMPO (FPGA) DIGITAL II ECA Departamento de Sistemas e Informática Escuela de Ingeniería Electrónica Rosa Corti 1 Preguntas a responder sobre FPGA Qué innovación

Más detalles

Carrera: 2-4-8. Participantes Representante de las academias de ingeniería en Mecatrónica de los Institutos Tecnológicos.

Carrera: 2-4-8. Participantes Representante de las academias de ingeniería en Mecatrónica de los Institutos Tecnológicos. 1.- DATOS DE LA ASIGNATURA Nombre de la asignatura: Carrera: Electrónica Digital Ingeniería Mecatrónica Clave de la asignatura: Horas teoría-horas práctica-créditos 2-4-8 2.- HISTORIA DEL PROGRAMA Lugar

Más detalles

Diseño Lógico I Facultad de Ciencias Exactas y Tecnología UNT. Introducción. Fabricantes. Elevada complejidad. Facilitar tareas de diseño

Diseño Lógico I Facultad de Ciencias Exactas y Tecnología UNT. Introducción. Fabricantes. Elevada complejidad. Facilitar tareas de diseño Introducción Fabricantes Elevada complejidad Facilitar tareas de diseño Herramientas CAD DESCRIPCIÓN GRÁFICA DEL MODELO DE DISEÑO DE SISTEMAS COMPLEJOS Proceso de diseño Simplificado Antes de realizar

Más detalles

OBJETIVOS DE LA MATERIA... 4 PROGRAMA ANALÍTICO. CONTENIDOS TEÓRICOS Y PRÁCTICOS... 5 BIBLIOGRAFIA... 7

OBJETIVOS DE LA MATERIA... 4 PROGRAMA ANALÍTICO. CONTENIDOS TEÓRICOS Y PRÁCTICOS... 5 BIBLIOGRAFIA... 7 UNIVERSIDAD NACIONAL DE LA MATANZA DEPARTAMENTO DE INGENIERIA E INVESTIGACIONES TECNOLOGICAS INGENIERIA EN INFORMATICA ARQUITECTURA DE COMPUTADORAS (1109) Profesor Titular: Ing. Fernando I. Szklanny PLANIFICACIÓN

Más detalles

Laboratorio 4: Uso de una FPGA

Laboratorio 4: Uso de una FPGA Laboratorio 4: Uso de una FPGA Objetivos: Conocer y comprender la estructura interna de una FPGA y su tarjeta de desarrollo que será usada en el laboratorio, y los cuidados y recomendaciones para evitar

Más detalles

Introducción a las FPGA

Introducción a las FPGA Introducción a las FPGA Introducción a la Microfabricación y las FPGA Instituto Balseiro 12 de Agosto 2013 Hoy veremos... Menú del Día Qué es una FPGA. Para qué se usan. Arquitecturas. Flujo de diseño.

Más detalles

CAPITULO 5. DISPOSITIVOS DE LOGICA RECONFIGURABLE

CAPITULO 5. DISPOSITIVOS DE LOGICA RECONFIGURABLE CAPITULO 5. DISPOSITIVOS DE LOGICA RECONFIGURABLE Los FPGA fueron introducidos por Xilinx en la mitad de 1980. Ellos difieren de los CPLDs en la arquitectura, por su tecnología de almacenamiento, número

Más detalles

PRÁCTICA 1. Introducción al Software Xilinx ISE versión 6.

PRÁCTICA 1. Introducción al Software Xilinx ISE versión 6. PRÁCTICA 1. Introducción al Software Xilinx ISE versión 6. 1. Introducción. Debido a los requerimientos de funcionamiento, la complejidad que están alcanzando los diseños digitales aumenta día a día. Estos

Más detalles

Tema 16 ELECTRÓNICA DIGITAL LENGUAJES DE DESCRIPCIÓN DE LOS SISTEMAS DIGITALES (PARTE 1)

Tema 16 ELECTRÓNICA DIGITAL LENGUAJES DE DESCRIPCIÓN DE LOS SISTEMAS DIGITALES (PARTE 1) ELECTRÓNICA DIGITAL Tema 16 LENGUAJES DE DESCRIPCIÓN DE LOS SISTEMAS DIGITALES (PARTE 1) IMPORTANCIA DE LOS ESQUEMAS Los circuitos y sistemas electrónicos digitales cuya complejidad es limitada, se pueden

Más detalles

Tipos de Dispositivos Controladores

Tipos de Dispositivos Controladores Tipos de Dispositivos Controladores PLC Allen Bradley Siemens Schneider OMRON PC & Software LabView Matlab Visual.NET (C++, C#) FPGAS Xilinx Altera Híbridos Procesador + FPGA (altas prestaciones) µcontrolador

Más detalles

DESARROLLO DE UN COPROCESADOR EN PUNTO FLOTANTE PARA LA RESOLUCIÓN DE LA ECUACIÓN DE POISSON 1D EN ESTRUCTURAS SOI. Ingeniería Electrónica

DESARROLLO DE UN COPROCESADOR EN PUNTO FLOTANTE PARA LA RESOLUCIÓN DE LA ECUACIÓN DE POISSON 1D EN ESTRUCTURAS SOI. Ingeniería Electrónica DESARROLLO DE UN COPROCESADOR EN PUNTO FLOTANTE PARA LA RESOLUCIÓN DE LA ECUACIÓN DE POISSON 1D EN ESTRUCTURAS SOI Ingeniería Electrónica Francisco Pasadas Cantos Granada 01 Directores: Antonio García

Más detalles

Sistemas Electrónicos Digitales. Práctica 1 Multiplicador de 8 bits secuencial con desplazamiento hacia la derecha

Sistemas Electrónicos Digitales. Práctica 1 Multiplicador de 8 bits secuencial con desplazamiento hacia la derecha Sistemas Electrónicos igitales Práctica de 8 bits secuencial con desplazamiento hacia la derecha Javier Toledo Moreo pto. Electrónica, Tecnología de Computadoras y Proyectos Universidad Politécnica de

Más detalles

TEMA 4. Unidades Funcionales del Computador

TEMA 4. Unidades Funcionales del Computador TEMA 4 Unidades Funcionales del Computador Álvarez, S., Bravo, S., Departamento de Informática y automática Universidad de Salamanca Introducción El elemento físico, electrónico o hardware de un sistema

Más detalles

Introducción a los Dispositivos Lógicos Programables (FPGAs) Guillermo Güichal

Introducción a los Dispositivos Lógicos Programables (FPGAs) Guillermo Güichal Introducción a los Dispositivos Lógicos Programables (FPGAs) Guillermo Güichal www.emtech.com.ar Temario Introducción Circuitos Digitales FPGAs Flujo y Herramientas de Diseño Diseño para Síntesis Simulación

Más detalles

320098 - ED - Electrónica Digital

320098 - ED - Electrónica Digital Unidad responsable: 320 - EET - Escuela de Ingeniería de Terrassa Unidad que imparte: 710 - EEL - Departamento de Ingeniería Electrónica Curso: Titulación: 2015 GRADO EN INGENIERÍA DE SISTEMAS AUDIOVISUALES

Más detalles

Curso Completo de Electrónica Digital

Curso Completo de Electrónica Digital CURSO Curso Completo de Electrónica Digital Departamento de Electronica y Comunicaciones Universidad Pontifica de Salamanca en Madrid Prof. Juan González Gómez Capítulo 4 CIRCUITOS COMBINACIONALES 4.1.

Más detalles

ASIGNATURA: ELECTRÓNICA DIGITAL PROGRAMA ACADÉMICO: INGENIERIA EN MECATRÓNICA TIPO EDUCATIVO: INGENIERIA MODALIDAD: MIXTA

ASIGNATURA: ELECTRÓNICA DIGITAL PROGRAMA ACADÉMICO: INGENIERIA EN MECATRÓNICA TIPO EDUCATIVO: INGENIERIA MODALIDAD: MIXTA INSTITUTO UNIVERSITARIO PUEBLA HOJA: 1 DE 3 PROGRAMA ACADÉMICO: INGENIERIA EN MECATRÓNICA TIPO EDUCATIVO: INGENIERIA MODALIDAD: MIXTA SERIACIÓN: NINGUNA CLAVE DE LA ASIGNATURA: IM45 CICLO: OCTAVO CUATRIMESTRE

Más detalles

TÉCNICO SUPERIOR UNIVERSITARIO EN MANTENIMIENTO ÁREA INDUSTRIAL

TÉCNICO SUPERIOR UNIVERSITARIO EN MANTENIMIENTO ÁREA INDUSTRIAL TÉCNICO SUPERIOR UNIVERSITARIO EN MANTENIMIENTO ÁREA INDUSTRIAL HOJA DE ASIGNATURA CON DESGLOSE DE UNIDADES TEMÁTICAS 1. Nombre de la asignatura Electrónica digital 2. Competencias Supervisar el reemplazo

Más detalles

Laboratorio 2: Mediciones Digitales

Laboratorio 2: Mediciones Digitales Objetivos: Laboratorio 2: Mediciones Digitales Conocer y utilizar con propiedad un osciloscopio de señal mixta. Manejar los conceptos de sincronización, disparo, nivel de disparo, y base de tiempo de un

Más detalles

BLOQUE 2. (PARTE 3: Tema 1) CONJUNTO CONFIGURABLE DE PUERTAS FPGA (Field Programmable Gate Array) Clasificación y descripción

BLOQUE 2. (PARTE 3: Tema 1) CONJUNTO CONFIGURABLE DE PUERTAS FPGA (Field Programmable Gate Array) Clasificación y descripción SISTEMAS ELECTRÓNICOS DIGITALES OQUE 2 CIRCUITOS DIGITALES CONFIGURAES (PARTE 3: Tema 1) CONJUNTO CONFIGURAE DE PUERTAS Clasificación y descripción Enrique Mandado Pérez María José Moure Rodríguez Circuito

Más detalles

Clase 20: Arquitectura Von Neuman

Clase 20: Arquitectura Von Neuman http://computacion.cs.cinvestav.mx/~efranco @efranco_escom efranco.docencia@gmail.com Estructuras de datos (Prof. Edgardo A. Franco) 1 Contenido Arquitectura de una computadora Elementos básicos de una

Más detalles

TECNICO SUPERIOR UNIVERSITARIO EN MECATRÓNICA

TECNICO SUPERIOR UNIVERSITARIO EN MECATRÓNICA TECNICO SUPERIOR UNIVERSITARIO EN MECATRÓNICA HOJA DE ASIGNATURA CON DESGLOSE DE UNIDADES TEMÁTICAS 1. Nombre de la asignatura Sistemas digitales 2. Competencias Desarrollar y conservar sistemas automatizados

Más detalles

TRAB. PRÁCTICO Nº 3: UNIDAD CENTRAL DE PROCESAMIENTO (C.P.U.)

TRAB. PRÁCTICO Nº 3: UNIDAD CENTRAL DE PROCESAMIENTO (C.P.U.) OBJETIVOS: El alumno deberá asimilar los siguientes conocimientos: Concepto de buses, características, tipos. Ciclo de Reloj, de Máquina y de Instrucción. Unidad de Control (UC). Definición, clasificación,

Más detalles

Diseño Lógico I Facultad de Ciencias Exactas y Tecnología UNT. LENGUAJES DE DESCRIPCIÓN DE HARDWARE

Diseño Lógico I Facultad de Ciencias Exactas y Tecnología UNT. LENGUAJES DE DESCRIPCIÓN DE HARDWARE LENGUAJES DE DESCRIPCIÓN DE HARDWARE METODOS PARA DESCRIBIR CIRCUITOS LÓGICOS Descripción verbal Tablas de verdad Diagrama esquemático Expresiones del álgebra booleana Diagrama de tiempos Lenguajes de

Más detalles

Nombre de la asignatura: Clave de la asignatura: SIB-1307 1-4-5. Carrera:

Nombre de la asignatura: Clave de la asignatura: SIB-1307 1-4-5. Carrera: 1. Datos generales de la asignatura Nombre de la asignatura: Clave de la asignatura: Créditos(Ht-Hp_créditos): Carrera: Sistemas Embebidos SIB-1307 1-4-5 Ingeniería Electrónica 2. Presentación Caracterización

Más detalles

Carrera: ECC-0417. Participantes Representante de las academias de ingeniería electrónica de los Institutos Tecnológicos. Academias de Ingeniería

Carrera: ECC-0417. Participantes Representante de las academias de ingeniería electrónica de los Institutos Tecnológicos. Academias de Ingeniería 1.- DATOS DE LA ASIGNATURA Nombre de la asignatura: Carrera: Clave de la asignatura: Horas teoría-horas práctica-créditos Electrónica Digital II Ingeniería Electrónica ECC-0417 4 2 10 2.- HISTORIA DEL

Más detalles

PROGRAMA DE ESTUDIO. Programas académicos en los que se imparte: Ingeniería Eléctrica-Electrónica 1. DESCRIPCIÓN Y CONCEPTUALIZACIÓN DE LA ASIGNATURA:

PROGRAMA DE ESTUDIO. Programas académicos en los que se imparte: Ingeniería Eléctrica-Electrónica 1. DESCRIPCIÓN Y CONCEPTUALIZACIÓN DE LA ASIGNATURA: PROGRAMA DE ESTUDIO Nombre de la asignatura: SISTEMAS DIGITALES 1 Clave: IEE18 Fecha de elaboración: marzo 2015 Horas Horas Semestre semana Ciclo Formativo: Básico ( ) Profesional ( X ) Especializado (

Más detalles

FACULTAD DE INGENIERÍAS Y ARQUITECTURA ESCUELA ACADÉMICO PROFESIONAL DE INGENIERÍA ELECTRÓNICA Y TELECOMUNICACIONES : SISTEMAS DIGITALES I SÍLABO

FACULTAD DE INGENIERÍAS Y ARQUITECTURA ESCUELA ACADÉMICO PROFESIONAL DE INGENIERÍA ELECTRÓNICA Y TELECOMUNICACIONES : SISTEMAS DIGITALES I SÍLABO I.-DATOS GENERALES SÍLABO CARRERA PROFESIONAL : INGENIERÍA ELECTRÓNICA Y CÓDIGO CARRERA PROFESIONAL : 29 ASIGNATURA : CÓDIGO DE ASIGNATURA : 2902-29213 CÓDIGO DE SÍLABO : 2921330072014 Nº DE HORAS TOTALES

Más detalles

CONTENIDO Capitulo 2. DE CONMUTACION

CONTENIDO Capitulo 2. DE CONMUTACION CONTENIDO 1.1. Introduccion 1 1.2. Postulados del Algebra de Boole 5 1.3. Algebra de Boole de dos elementos... 7 1.4. Dualidad 8 1.5. Teoremas del Algebra de Boole 8 1.6. La teoria de conjuntos como un

Más detalles

Introducción a los Dispositivos Lógicos Programables (FPGAs) Guillermo Güichal

Introducción a los Dispositivos Lógicos Programables (FPGAs) Guillermo Güichal Introducción a los Dispositivos Lógicos Programables (FPGAs) Guillermo Güichal www.emtech.com.ar Temario Introducción Circuitos Digitales FPGAs Flujo y Herramientas de Diseño Simulación CPUs con FPGA o

Más detalles

TEMA 1 FUNDAMENTOS DEL DISEÑO DEL HARDWARE DIGITAL

TEMA 1 FUNDAMENTOS DEL DISEÑO DEL HARDWARE DIGITAL TEMA 1 FUNDAMENTOS DEL DISEÑO DEL HARDWARE DIGITAL 1.1. Introducción 1.2. Lenguajes para la descripción de hardware 1.3. Ciclo de diseño de los circuitos digitales 1.4. Tecnologías de circuitos integrados

Más detalles

Figura 1. Símbolo que representa una ALU. El sentido y la funcionalidad de las señales de la ALU de la Figura 1 es el siguiente:

Figura 1. Símbolo que representa una ALU. El sentido y la funcionalidad de las señales de la ALU de la Figura 1 es el siguiente: Departamento de Ingeniería de Sistemas Facultad de Ingeniería Universidad de Antioquia Arquitectura de Computadores y Laboratorio ISI355 (2011 2) Práctica No. 1 Diseño e implementación de una unidad aritmético

Más detalles

PROYECTO CURRICULAR. Electrónica Digital y Microprogramable

PROYECTO CURRICULAR. Electrónica Digital y Microprogramable PROYECTO CURRICULAR Electrónica Digital y Microprogramable Ciclo Formativo Grado Medio Equipos Electrónicos de Consumo CAPACIDADES TERMINALES 1 Analizar funcionalmente circuitos electrónicos digitales,

Más detalles

INSTITUTO TECNOLÓGICO PASCUAL BRAVO TECNOLOGÍA ELECTRÓNICA PRACTICAS DE LABORATORIO SOFTWARE APLICADO I

INSTITUTO TECNOLÓGICO PASCUAL BRAVO TECNOLOGÍA ELECTRÓNICA PRACTICAS DE LABORATORIO SOFTWARE APLICADO I INSTITUTO TECNOLÓGICO PASCUAL BRAVO TECNOLOGÍA ELECTRÓNICA PRACTICAS DE LABORATORIO SOFTWARE APLICADO I Objetivos Adquirir destreza en el manejo de equipos de medida Familiarizarse con programas de computadores

Más detalles

CONTROL DIGITAL PARA CONVERTIDOR MULTINIVEL ALIMENTADO CON ENERGÍA SOLAR. Anexo A: FPGA. Introducción

CONTROL DIGITAL PARA CONVERTIDOR MULTINIVEL ALIMENTADO CON ENERGÍA SOLAR. Anexo A: FPGA. Introducción Anexo A: FPGA Introducción Cuando se requiere del diseño de un sistema electrónico y surge la necesidad de implementar una parte con hardware dedicado son varias las posibilidades que hay. Una es un diseño

Más detalles

Nombre de la asignatura : Sistemas Digitales. Carrera : Ingeniería en Sistemas Computacionales. Clave de la asignatura : SCC-9335

Nombre de la asignatura : Sistemas Digitales. Carrera : Ingeniería en Sistemas Computacionales. Clave de la asignatura : SCC-9335 1. D A T O S D E L A A S I G N A T U R A Nombre de la asignatura : Sistemas Digitales Carrera : Ingeniería en Sistemas Computacionales Clave de la asignatura : SCC-95 Horas teoría-horas práctica-créditos

Más detalles

RESUMEN INFORMATIVO PROGRAMACIÓN DIDÁCTICA CURSO 2012/2013

RESUMEN INFORMATIVO PROGRAMACIÓN DIDÁCTICA CURSO 2012/2013 RESUMEN INFORMATIVO PROGRAMACIÓN DIDÁCTICA CURSO 2012/2013 FAMILIA PROFESIONAL: ELECTRICIDAD-ELECTRÓNICA_ MÓDULO: Electrónica Digital y Microprogramable _ CURSO 1º E.E.C._ OBJETIVOS: Analizar funcionalmente

Más detalles

Electrónica Digital. Introducción

Electrónica Digital. Introducción Electrónica Digital Introducción Diseño digital Habilidades a desarrollar Técnicas de diseño Tecnologías de implementación Herramientas CAD Sistemas cableados Sistemas programados Sistemas Empotrados (Embedded

Más detalles

TAREA DE SIMULACIÓN TS1

TAREA DE SIMULACIÓN TS1 TAREA DE SIMULACIÓN CONSTRUCCIÓN Y SIMULACIÓN DE CIRCUITOS COMBINACIONALES SENCILLOS CON CIRCUITOS INTEGRADOS ESTANDAR OBJETIVOS: - Conocer e interpretar las hojas de características del fabricante, de

Más detalles

UNIVERSIDAD NACIONAL AUTÓNOMA DE MÉXICO FACULTAD DE INGENIERÍA PROGRAMA DE ESTUDIO

UNIVERSIDAD NACIONAL AUTÓNOMA DE MÉXICO FACULTAD DE INGENIERÍA PROGRAMA DE ESTUDIO UNIVERSIDAD NACIONAL AUTÓNOMA DE MÉXICO FACULTAD DE INGENIERÍA PROGRAMA DE ESTUDIO DISEÑO DE SISTEMAS DIGITALES 1551 5 o 11 Asignatura Clave Semestre Créditos Ingeniería Eléctrica Ingeniería en Computación

Más detalles

Aplicación de la reconfigurabilidad dinámica de la FPGA Virtex de Xilinx *.

Aplicación de la reconfigurabilidad dinámica de la FPGA Virtex de Xilinx *. Aplicación de la reconfigurabilidad dinámica de la FPGA Virtex de Xilinx *. José Luis Camps, Vicente Herrero, Rafael Gadea, Joaquín Cerdà, Marcos Martínez, Ricardo Colom Universidad Politécnica de Valencia.

Más detalles

Introducción a los FPGAs y el Cómputo Reconfigurable Miguel Morales Sandoval INAOE, 2006

Introducción a los FPGAs y el Cómputo Reconfigurable Miguel Morales Sandoval INAOE, 2006 Introducción a los FPGAs y el Cómputo Reconfigurable Miguel Morales Sandoval INAOE, 2006 Qué son los FPGAs? Matriz de bloques lógicos configurables (CLB) y una matriz de interconexión. Los bloques lógicos

Más detalles

Desarrollo y Construcción de Prototipos Electrónicos

Desarrollo y Construcción de Prototipos Electrónicos Desarrollo y Construcción de Prototipos Electrónicos U.D. 1.1.- Diseño electrónico Proceso de diseño Herramientas de diseño: CAD (Computer Aided Design) = Diseño asistido por ordenador CAM (Computer Aided

Más detalles

FPGA (CAMPO DE MATRIZ DE PUERTAS PROGRAMABLES).

FPGA (CAMPO DE MATRIZ DE PUERTAS PROGRAMABLES). FPGA (CAMPO DE MATRIZ DE PUERTAS PROGRAMABLES). 1 1 FIELD PROGRAMMABLE GATE ARRAY Un FPGA (Field Programmable Gate Array) permite implementar cualquier circuito digital de aplicación específica. Las aplicaciones

Más detalles

5. Metodologías de diseño de un ASIC

5. Metodologías de diseño de un ASIC 5. Metodologías de diseño de un ASIC 5.1. Introducción 5.2. Gate Arrays 5.3. Standard Cells 5.4. Seas of Gates 5.5. Dispositivos programables FPGAs Dispositivos programables El diseño de circuitos integrados

Más detalles

Planificación y cableado de redes

Planificación y cableado de redes Planificación y cableado de redes Aspectos básicos de networking: Capítulo 10 1 Objetivos Identificar los medios de red básicos requeridos para realizar una conexión LAN. Identificar los tipos de conexiones

Más detalles

INTRODUCCIÓN AL SOFTWARE ISE (Integrated Software Environment) DE XILINX

INTRODUCCIÓN AL SOFTWARE ISE (Integrated Software Environment) DE XILINX INTRODUCCIÓN AL SOFTWARE ISE (Integrated Software Environment) DE XILINX Índice GUÍA DE INICIO AL SOFTWARE ISE DE XILINX... 1 1. Introducción... 1 2. Inicio del software ISE (Integrated Software Environment)

Más detalles

Plan docente. Laboratorio de Diseño Digital (16311/17186)

Plan docente. Laboratorio de Diseño Digital (16311/17186) Plan docente Asignatura: Laboratorio de Diseño Digital (16311/17186) Profesores: Andoni Arruti Illarramendi Carlos Amuchástegui Uriarte Izaskun Etxeberria Uztarroz Amaya Ibarra Lasa 1 INDICE 1/ DATOS MATERIA.

Más detalles

Electrónica Digital I

Electrónica Digital I Electrónica Digital I Página 1 de 6 Programa de: Electrónica Digital I UNIVERSIDAD NACIONAL DE CÓRDOBA Facultad de Ciencias Exactas, Físicas y Naturales República Argentina Carrera: Ingeniería en Computación.

Más detalles

UNIVERSIDAD AUTÓNOMA DE YUCATÁN FACULTAD DE MATEMÁTICAS MISIÓN

UNIVERSIDAD AUTÓNOMA DE YUCATÁN FACULTAD DE MATEMÁTICAS MISIÓN UNIVERSIDAD AUTÓNOMA DE YUCATÁN FACULTAD DE MATEMÁTICAS MISIÓN Formar profesionales altamente capacitados, desarrollar investigación y realizar actividades de extensión, en Matemáticas y Computación, así

Más detalles

UNIVERSIDAD NACIONAL MAYOR DE SAN MARCOS (Universidad del Perú, Decana de América)

UNIVERSIDAD NACIONAL MAYOR DE SAN MARCOS (Universidad del Perú, Decana de América) UNIVERSIDAD NACIONAL MAYOR DE SAN MARCOS (Universidad del Perú, Decana de América) FACULTAD DE INGENIERIA DE SISTEMAS E INFORMATICA Escuela Académico Profesional de Ingeniería de Sistemas SILABO 1. ESPECIFICACIONES

Más detalles

Introducción al Diseño Digital con FPGAs.

Introducción al Diseño Digital con FPGAs. Introducción al Diseño Digital con FPGAs www.emtech.com.ar Temario del curso Dia 1: Introducción y ejemplo practico paso a paso Dia 2: VHDL, flujo de diseño y otro ejemplo Dia 3: Detalles de diseño e implementacion

Más detalles

FACULTAD DE INGENIERÍAS Y ARQUITECTURA ESCUELA ACADÉMICO PROFESIONAL DE INGENIERÍA ELECTRÓNICA Y TELECOMUNICACIONES : SISTEMA DIGITALES I SÍLABO

FACULTAD DE INGENIERÍAS Y ARQUITECTURA ESCUELA ACADÉMICO PROFESIONAL DE INGENIERÍA ELECTRÓNICA Y TELECOMUNICACIONES : SISTEMA DIGITALES I SÍLABO SÍLABO I.- DATOS GENERALES ESCUELA PROFESIONAL : INGENIERÍA ELECTRÓNICA Y CÓDIGO CARRERA PROF. : 29 ASIGNATURA : SISTEMA DIGITALES II CÓDIGO DE ASIGNATURA : 29-302 CÓDIGO DE SÍLABO : 2930231012014 CICLO

Más detalles

Metodologías de diseño de hardware

Metodologías de diseño de hardware Capítulo 2 Metodologías de diseño de hardware Las metodologías de diseño de hardware denominadas Top-Down, basadas en la utilización de lenguajes de descripción de hardware, han posibilitado la reducción

Más detalles

GAL22V10. 12 entradas dedicadas. 10 pines E/S. Disponible encapsulado DIP (24 pines), PLCC (28 pines) o baja tensión (GAL22LV10).

GAL22V10. 12 entradas dedicadas. 10 pines E/S. Disponible encapsulado DIP (24 pines), PLCC (28 pines) o baja tensión (GAL22LV10). GAL22V10 12 entradas dedicadas 10 pines E/S Disponible encapsulado DIP (24 pines), PLCC (28 pines) o baja tensión (GAL22LV10). 10 OLMCs disponibles. OLMCs programables como E o S combinacional o como S

Más detalles

Tema IV. Unidad aritmético lógica

Tema IV. Unidad aritmético lógica Tema IV Unidad aritmético lógica 4.1 Sumadores binarios 4.1.1 Semisumador binario (SSB) 4.1.2 Sumador binario completo (SBC) 4.1.3 Sumador binario serie 4.1.4 Sumador binario paralelo con propagación del

Más detalles

PROGRAMA DE MATERIA DATOS DE IDENTIFICACIÓN ORGANIZACIÓN COMPUTACIONAL DEPARTAMENTO ACADÉMICO: SISTEMAS ELECTRONICOS CLAVE DE LA 7

PROGRAMA DE MATERIA DATOS DE IDENTIFICACIÓN ORGANIZACIÓN COMPUTACIONAL DEPARTAMENTO ACADÉMICO: SISTEMAS ELECTRONICOS CLAVE DE LA 7 DATOS DE IDENTIFICACIÓN MATERIA: ORGANIZACIÓN COMPUTACIONAL CENTRO ACADÉMICO: DEPARTAMENTO ACADÉMICO: PROGRAMA EDUCATIVO: AÑO DEL PLAN DE ESTUDIOS: 2009 SEMESTRE: 3 ÁREA ACADÉMICA: ELECTRÓNICA DIGITAL

Más detalles

1.- DATOS DE LA ASIGNATURA. Diseño Digital Avanzado con FPGAs. Nombre de la asignatura: Carrera: Ingeniería Electrónica. Clave de la asignatura:

1.- DATOS DE LA ASIGNATURA. Diseño Digital Avanzado con FPGAs. Nombre de la asignatura: Carrera: Ingeniería Electrónica. Clave de la asignatura: 1.- DATOS DE LA ASIGNATURA Nombre de la asignatura: Carrera: Clave de la asignatura: (Créditos) SATCA 1 Diseño Digital Avanzado con FPGAs Ingeniería Electrónica SDJ 1203 4 2 6 2.- PRESENTACIÓN Caracterización

Más detalles

Carrera: SCB-9335 4-2-10. Proporciona conocimientos básicos para la programación de dispositivos de control digital.

Carrera: SCB-9335 4-2-10. Proporciona conocimientos básicos para la programación de dispositivos de control digital. 1.- DATOS DE LA ASIGNATURA Nombre de la asignatura: Carrera: Clave de la asignatura: Horas teoría-horas práctica-créditos Sistemas Digitales Ingeniería en Sistemas Computacionales SCB-9335 4-2-10 2.- UBICACIÓN

Más detalles

Instrumentación Electrónica con MicroprocesadorII: Procesadores Avanzados

Instrumentación Electrónica con MicroprocesadorII: Procesadores Avanzados Instrumentación Electrónica con MicroprocesadorII: Procesadores Avanzados Microprocesadores empotrados en FPGAs. Entorno de desarrollo de Xilinx Marta Portela García DISEÑO DE SISTEMAS EMPOTRADOS Sistemas

Más detalles

Simulín. Qué es Simulín? Características. Simulador de circuitos digitales para uso docente. v5.60 (Julio 2014) Función lógica (expresión algebraica)

Simulín. Qué es Simulín? Características. Simulador de circuitos digitales para uso docente. v5.60 (Julio 2014) Función lógica (expresión algebraica) Folleto de presentación Simulín Simulín Simulador de circuitos digitales para uso docente v5.60 (Julio 2014) Características Circuitos Combinacionales Puertas lógicas básicas (NOT, AND, OR, XOR, NAND,

Más detalles

PROGRAMA DE LA ASIGNATURA: LABORATORIO DE ELECTRÓNICA DIGITAL

PROGRAMA DE LA ASIGNATURA: LABORATORIO DE ELECTRÓNICA DIGITAL HOJA 1 DE 6 PROGRAMA DE LA ASIGNATURA: LABORATORIO DE ELECTRÓNICA DIGITAL CENTRO: TITULACIÓN: ESPECIALIDADES: CURSO: TIPO DE ASIGNATURA: CRÉDITOS: E.T.S. DE INGENIEROS DE TELECOMUNICACIÓN INGENIERO DE

Más detalles

1.1. Tecnologías de diseño de circuitos integrados

1.1. Tecnologías de diseño de circuitos integrados 1.1. Tecnologías de diseño de circuitos integrados Durante la década de los 80, varias compañías intentaron resolver el viejo compromiso de complejidad versus estandarización. Por un lado se tenía la opción

Más detalles

IN ST IT UT O POLIT ÉCN ICO N A CION A L SECRETARÍA ACADÉMICA DIRECCIÓN DE ESTUDIOS PROFESIONALES EN INGENIERÍA Y CIENCIAS FÍSICO MATEMÁTICAS

IN ST IT UT O POLIT ÉCN ICO N A CION A L SECRETARÍA ACADÉMICA DIRECCIÓN DE ESTUDIOS PROFESIONALES EN INGENIERÍA Y CIENCIAS FÍSICO MATEMÁTICAS CARRERA: Ingeniería en Sistemas Computacionales PROGRAMA SINTÉTICO ASIGNATURA: Circuitos Lógicos I SEMESTRE: Tercero. OBJETIVO GENERAL: El alumno resolverá problemas de diseño de computadores digitales

Más detalles

PROGRAMA DE ESTUDIO. Horas de Práctica

PROGRAMA DE ESTUDIO. Horas de Práctica PROGRAMA DE ESTUDIO Nombre de la asignatura: SISTEMAS DIGITALES 2 Clave: IEE19 Fecha de elaboración: marzo 2015 Horas Semestre Horas semana Horas de Teoría Ciclo Formativo: Básico ( ) Profesional ( ) Especializado

Más detalles

ESCUELA TÉCNICA SUPERIOR DE INGENIEROS INDUSTRIALES Y DE TELECOMUNICACIÓN

ESCUELA TÉCNICA SUPERIOR DE INGENIEROS INDUSTRIALES Y DE TELECOMUNICACIÓN ESCUELA TÉCNICA SUPERIOR DE INGENIEROS INDUSTRIALES Y DE TELECOMUNICACIÓN Titulación : INGENIERO TÉCNICO DE TELECOMUNICACIÓN, ESPECIALIDAD EN SONIDO E IMAGEN Título del proyecto: ENTORNO EDUCATIVO PARA

Más detalles

UT 14: Imágenes de disco y particiones: creación y restauración. UT 15: Clonación de sistemas: reales y virtuales.

UT 14: Imágenes de disco y particiones: creación y restauración. UT 15: Clonación de sistemas: reales y virtuales. I. Arquitectura de ordenadores UT 1: Modelo lógico de sistemas de programa almacenado. UT 2: Componentes de integración y ensamblaje. UT 3: Fuentes de alimentación. UT 4: Buses. UT 5: Placas base. UT 6:

Más detalles

UNIVERSIDAD NACIONAL DE SANTIAGO DEL ESTERO FACULTAD DE CIENCIAS EXACTAS Y TECNOLOGÍAS

UNIVERSIDAD NACIONAL DE SANTIAGO DEL ESTERO FACULTAD DE CIENCIAS EXACTAS Y TECNOLOGÍAS UNIVERSIDAD NACIONAL DE SANTIAGO DEL ESTERO FACULTAD DE CIENCIAS EXACTAS Y TECNOLOGÍAS Planificación de la asignatura Sistemas Lógicos II (Ing. Electrónica) IDENTIFICACION: 1.1. Sistemas Lógicos II 1.2.

Más detalles

Análisis general de un Microprocesador

Análisis general de un Microprocesador Análisis general de un Microprocesador Arquitectura del chip Repertorio de instrucciones Sistema mínimo Señales de control Función de cada pin del µp Herramientas de desarrollo Performance. ARQUITECTURA

Más detalles

PROGRAMA FORMATIVO MICROPROGRAMABLES

PROGRAMA FORMATIVO MICROPROGRAMABLES PROGRAMA FORMATIVO DISEÑO, FABRICACIÓN, MONTAJE Y MANTENIMIENTO MICROPROGRAMABLES DE EQUIPOS ELECTRÓNICOS DE CONTROL Fecha: junio de 2015 DATOS GENERALES DE La ESPECIALIDAD 1. Familia Profesional: ELECTRICIDAD

Más detalles

Introducción a la Informática

Introducción a la Informática Correctas Incorrectas Cortas Diseño BD TOTAL Introducción a la Informática Facultad de ADE Junio 2008. Conv. Ordinaria Examen tipo: A Nombre: Apellidos: D.N.I.: Grupo: Cuestiones (6 5 puntos) Marca con

Más detalles

Encuesta sobre utilización de la microelectrónica en la Argentina

Encuesta sobre utilización de la microelectrónica en la Argentina Encuesta sobre utilización de la microelectrónica en la Argentina Los dispositivos microelectrónicos forman el corazón de todo sistema electrónico de nuestros días. En ellos los circuitos alcanzan las

Más detalles

UNIVERSIDAD AUTÓNOMA DE BAJA CALIFORNIA COORDINACIÓN DE FORMACIÓN BÁSICA COORDINACIÓN DE FORMACIÓN PROFESIONAL Y VINCULACIÓN UNIVERSITARIA

UNIVERSIDAD AUTÓNOMA DE BAJA CALIFORNIA COORDINACIÓN DE FORMACIÓN BÁSICA COORDINACIÓN DE FORMACIÓN PROFESIONAL Y VINCULACIÓN UNIVERSITARIA UNIVERSIDAD AUTÓNOMA DE BAJA CALIFORNIA COORDINACIÓN DE FORMACIÓN BÁSICA COORDINACIÓN DE FORMACIÓN PROFESIONAL Y VINCULACIÓN UNIVERSITARIA PROGRAMA DE UNIDAD DE APRENDIZAJE I. DATOS DE IDENTIFICACIÓN 1.

Más detalles

Tarjeta entrenadora para FPGA, basada en hardware abierto

Tarjeta entrenadora para FPGA, basada en hardware abierto Tarjeta entrenadora para FPGA, basada en hardware abierto Juan González, Pablo Haya, Sergio López-Buedo, Eduardo Boemo Escuela Politécnica Superior Universidad Autónoma de Madrid Laboratorio B-0, Tlf:

Más detalles

Figura 1.4. Elementos que integran a la Tecnología de Información.

Figura 1.4. Elementos que integran a la Tecnología de Información. 1.5. Organización, estructura y arquitectura de computadoras La Gráfica siguiente muestra la descomposición de la tecnología de información en los elementos que la conforman: Figura 1.4. Elementos que

Más detalles

CARTA DESCRIPTIVA (FORMATO MODELO EDUCATIVO UACJ VISIÓN 2020)

CARTA DESCRIPTIVA (FORMATO MODELO EDUCATIVO UACJ VISIÓN 2020) CARTA DESCRIPTIVA (FORMATO MODELO EDUCATIVO UACJ VISIÓN 2020) I. Identificadores de la asignatura Instituto: IIT Modalidad: Presencial Departamento: Materia: Ingeniería Eléctrica y Computación Circuitos

Más detalles

Carrera : Ingeniería Mecatrónica SATCA 1 3-2 - 5

Carrera : Ingeniería Mecatrónica SATCA 1 3-2 - 5 1.- DATOS DE LA ASIGNATURA Nombre de la asignatura : Electrónica Digital Carrera : Ingeniería Mecatrónica Clave de la asignatura : MTF-1013 SATCA 1 3-2 - 5 2.- PRESENTACIÓN Caracterización de la asignatura.

Más detalles

Estructura y Tecnología de Computadores (ITIG) Luis Rincón Córcoles Ángel Serrano Sánchez de León

Estructura y Tecnología de Computadores (ITIG) Luis Rincón Córcoles Ángel Serrano Sánchez de León Estructura y Tecnología de Computadores (ITIG) Luis Rincón Córcoles Ángel Serrano Sánchez de León Programa. Introducción. 2. Elementos de almacenamiento. 3. Elementos de proceso. 4. Elementos de interconexión.

Más detalles

Ivan Dario posso 20081283019 Diana K. Avella 20071283004 ÍNDICE ÍNDICE DE FIGURAS

Ivan Dario posso 20081283019 Diana K. Avella 20071283004 ÍNDICE ÍNDICE DE FIGURAS 1 Diseño de una unidad de control para una red de informadores electronicos con algoritmos de multiples efectos de visualizacion incorporando CPLD y FPGAs para la empresa Ciel Ingenieria Ltda. Ivan Dario

Más detalles

Arquitectura de Computadores. Práctica 1: Diseño Hardware de Procesadores. Práctica 1/1

Arquitectura de Computadores. Práctica 1: Diseño Hardware de Procesadores. Práctica 1/1 Arquitectura de Computadores Práctica 1: Diseño Hardware de Procesadores Práctica 1/1 Práctica 1 Ejercicio 1: Modelado VHDL de la etapa IF en el procesador DLX32 Ejercicio 2: Ampliación Y Especialización

Más detalles

M.C. Jorge Eduardo Ibarra Esquer jorgeeie@uabc.mx. Universidad Autónoma de Baja California Facultad de Ingeniería Mexicali Semestre 2009-2

M.C. Jorge Eduardo Ibarra Esquer jorgeeie@uabc.mx. Universidad Autónoma de Baja California Facultad de Ingeniería Mexicali Semestre 2009-2 Microcontroladores M.C. Jorge Eduardo Ibarra Esquer jorgeeie@uabc.mx Universidad Autónoma de Baja California Facultad de Ingeniería Mexicali Semestre 2009-2 Conceptos básicos Computadora Máquina diseñada

Más detalles

Uso del simulador Modelsim

Uso del simulador Modelsim Introducción al laboratorio: Uso del simulador Modelsim Diseño y Simulación de Circuitos Electrónicos por Asistido por Computador Ingeniería Técnica Industrial Electrónica Modelsim es un software que administra

Más detalles

Hardware: componentes físicos (UCP, discos, impresora, circuitos de E/S,...) Software: componentes lógicos (lo que no es hardware)

Hardware: componentes físicos (UCP, discos, impresora, circuitos de E/S,...) Software: componentes lógicos (lo que no es hardware) 1. Niveles de organización de computadoras Hardware y software Enfoque de máquina multinivel Clasificación de computadoras Hardware y Software Hardware: componentes físicos (UCP, discos, impresora, circuitos

Más detalles

Capítulo 1: Diseño Estructurado:

Capítulo 1: Diseño Estructurado: Capítulo 1: Diseño Estructurado: Metodología General de Diseño Top-Down Herramientas Tecnologías de implementación Test de Circuitos y Sistemas DEA SED 1-1 Metodologías General de Diseño Análisis del Sistema

Más detalles