PROTOTIPADO Y VERIFICACIÓN DE UN SISTEMA DE PROCESAMIENTO DE AUDIO EN FPGAs MEDIANTE HARDWARE IN THE LOOP

Tamaño: px
Comenzar la demostración a partir de la página:

Download "PROTOTIPADO Y VERIFICACIÓN DE UN SISTEMA DE PROCESAMIENTO DE AUDIO EN FPGAs MEDIANTE HARDWARE IN THE LOOP"

Transcripción

1 PROTOTIPADO Y VERIFICACIÓN DE UN SISTEMA DE PROCESAMIENTO DE AUDIO EN FPGAs MEDIANTE HARDWARE IN THE LOOP Juan J. Raygoza P, Susana Ortega C., Héctor J. Cabrera V., Francisco J. Ibarra V. { juan.raygoza, susana.ortega { hector.villasenor, javier.villegas Resumen Este artículo describe el diseño de módulos de procesamiento de señales de audio implementados en dispositivos reconfigurables FPGAs, utilizando la metodología de diseño y verificación conocido como Hardware in the Loop (HIL). El trabajo presenta el diseño de bloques de un sistema de procesamiento de audio desarrollados con System Generator y Matlab. El circuito propuesto permite realizar el procesamiento de audio con las operaciones de eco y reverberación, éstas utilizan una representación en aritmética de punto fijo de 16 bits y están implementadas en una FPGA Spartan 3E de Xilinx. La ventaja del diseño basado en modelos desarrollados en System Generator es que permite un desarrollo rápido de prototipos al no requerir un conocimiento extensivo de la plataforma de desarrollo o de un lenguaje de descripción de hardware por parte del diseñador, además de ofrecer la posibilidad de realizar el diseño de bloques del circuito en Simulink de Matlab facilitando tanto la implementación como la simulación con estímulos de señales de audio preestablecidas. Es importante mencionar que la metodología de verificación de prototipos mediante Hardware in the Loop permite estimular con señales generadas desde un ambiente de software en un ordenador al diseño implementado físicamente en el dispositivo lógico reconfigurable, facilitando el análisis en tiempo real de la respuesta del prototipo. Este trabajo muestra los resultados de verificación mediante Hardware in the Loop y resume las ocupaciones del prototipo en la FPGA.

2 1. Metodología HIL implementada con System Genetator. La metodología de Hardware In the Loop consiste en el uso de herramientas de software para simular señales físicas para verificar la funcionalidad de un sistema embebido. Algunos sistemas embebidos requieren entradas provenientes de sensores y/o salidas hacia actuadores, por lo cual muchas veces la tarea de verificar el sistema se vuelve un tanto complicado. Es aquí cuando la metodología HIL toma importancia, debido a que es mucho más fácil modelar las señales físicas y los actuadores en software, ahorrándonos con esto la complejidad de llevar a campo el sistema y el equipo necesario para la medición de éste para que sea verificado [1]. La simulación y verificación de un sistema mediante la metodología HIL provee resultados muy parecidos a la realidad, lo cual hace a esta metodología una herramienta confiable para los diseñadores ya que el sistema se puede poner en funcionamiento en campo y se obtendrán prácticamente los mismos resultados que en la simulación. En este trabajo se utiliza la metodología HIL la cual se implementa con el software System Generator de Xilinx y Simulink de Matlab [2]. System Generator proporciona junto con Simulink una plataforma para desarrollar sistemas basados en modelos utilizando bloques predefinidos para el prototipado del sistema [3]. Con esta herramienta se puede simular el sistema mediante estímulos generados con Matlab para verificar la funcionalidad del sistema y una vez que se comprueben los resultados, se genera un bloque para realizar la co simulación en tiempo real haciendo un lazo entre la computadora y la FPGA [4]. 2. Caso de estudio: Transformaciones de audio basadas en retardos. A. Descripción Las transformación basadas en retardos de tiempo, en una señal acústica, se presentan cuando las reflexiones de un sonido son captadas por el receptor con retardos mayores a 50 milisegundos respecto a la señal original. [5]

3 El efecto causado por estas transformaciones es utilizado para realzar aspectos de una señal de audio (música) o crear efectos acordes a los fines del editor de audio. El efecto más básico obtenido a partir de retardos es el efecto eco, pero las aplicaciones no se reducen a este simple efecto, al modificar la duración de un retardo en las reflexiones se pueden lograr diferentes resultados como: Con retardos cortos menores a 30 milisegundos, e inyectando retroalimentación a la señal de salida se logra un sonido metálico. Con retardos entre 20 y 80 milisegundos se afecta la presencia de la fuente de sonido, permitiendo generar un sonido más grueso o emular la presencia de diferentes fuentes de sonido generando la misma señal a diferentes tiempos. Con múltiples líneas de retardo trabajando en paralelo con la misma señal original, pero diferentes transformaciones se pueden lograr efectos personalizados. El proceso para generar estas transformaciones puede lograrse mediante medios análogos o digitales, estos últimos siendo los más populares por las ventajas que presenta en medios de almacenamiento, inmunidad a ruido, integridad de la señal respecto al tiempo y facilidad de distribución. B. Efecto reverberación y efecto eco El eco es la transformación más básica que se puede generar a partir de retardos en una señal de audio, esta consiste en la percepción desfasada de una reflexión con respecto a la señal original, la reflexión tiene una intensidad menor en comparación de la señal original ya que ha tenido que recorrer una mayor distancia para llegar al receptor. In Gateway In z x 0.8 Retardo de Reflexion Unica (Eco) z -2 Pipeline Señal Original Eco Ganancia Reflexion a b a + b Sumador Audio Original Reflexion (Eco) cast Cast2 Out Gateway Out Figura 1. Diseño de un generador de Eco.

4 La reverberación físicamente representa la suma total de reflexiones de una señal de audio que son percibidas por el oyente, auditivamente puede ser descrita como una prolongación a modo de cola sonora que se añade al sonido original. Los parámetros que afectan la percepción de reverberación en una señal de audio son: Tiempo de decaimiento: Tiempo que tarda la cola sonora de una señal para llegar a una intensidad no audible. Retardo de reflexiones: es la diferencia de tiempo respecto a la señal original en la cual la cola sonora es percibida por el oyente. Intensidad de las primeras reflexiones: La magnitud de atenuación que presentan las primeras reflexiones de la señal original, a partir de esta magnitud la señal solo decaerá. Cast cast In Gateway In a b a + b Sumador de Reflexiones z Retardo de Reflexion z -3 x 0.5 Pipeline Señal Original Reverberacion Ganancia Retroalimentacion de Reflexiones x 0.8 Ganancia Reflexiones a b a + b Sumador Audio Original Reflexiones cast Cast1 Out Gateway Out Figura 2. Diseño de un generador de reverberación. 3. Resultados de implementación y simulación. A. Co Simulación en Hardware y Matlab. El proceso de Co Simulación inicia con la construcción de un prototipo desarrollado completamente a base de bloques System Generator. Todas las señales que serán inyectadas como estímulos, por medio de software, para la validación del prototipo deben ser integradas al diseño por medio de bloques Gateway In/Out, los cuales funcionan como fronteras de diseño, estableciendo los bloques que serán implementados dentro de la FPGA. La figura 3 muestra el diseño propuesto para un generador de eco/reverberación embebido, en éste se ha utilizado como estimulo un archivo.wav de voz existente dentro del ordenador.[6]

5 Figura 3. Diseño validado de generador de eco/reverberación embebido. Es posible el análisis de los resultados obtenidos del procesamiento realizado en cada uno de los bloques con la inserción de un bloque Scope, lo cual funciona como una herramienta de depuración de errores en el diseño. Una vez validados los resultados de salida en software se procede a la compilación del diseño para su uso en una Co Simulación con hardware, si el diseño requiere de la interacción con estímulos generados a partir de hardware conectado a la FPGA éstos pueden ser especificados e integrados al diseño por medio de la herramienta de soporte de nuevas plataformas a través de JTAG para Co Simulación en hardware proporcionado por System Generator. [7] Figura 4. (a) Resultado del procesamiento de una señal de audio 5 segundos de eco, 5 segundos de reverberación y 5 segundos de la señal original, (b) Señal original de audio.

6 El proceso de construcción del bloque de Co Simulación se genera en el bloque System Generator, en éste se especifica que el tipo de compilación deseado es Hardware Co Simulation. Un bloque de Co Simulación será el resultado de la compilación, este bloque puede ser alimentado que señales generadas por cualquiera de los blocksets de Simulink sin estar restringidos al uso exclusivo de bloques de System Generator. [7] From Wave File wav Out (44100Hz/1Ch/16b) From Wave File Gateway In JTAG Co-sim untitled hwcosim Gateway Out Bocina Figura 5. Resultado de la compilación para Co Simulación en hardware. El proceso de Co Simulación en Hardware consiste en la alimentación de un diseño, implementado en una FPGA, con estímulos generados puramente mediante software. En otras palabras, todas las operaciones se realizan dentro de la FPGA, mientras que el análisis y generación de estímulos de Entrada/Salida se lleva a cabo en Matlab. B. Ocupaciones en Hardware En la tabla 1 se muestran las ocupaciones para la tarjeta de desarrollo Spartan 3e 3s500efg La segunda columna muestra la estimación de la ocupación obtenida mediante el software System Generator y la tercera columna contiene la ocupación real obtenida mediante el software ISE Project Navigator. Para poder calcular la ocupación real con el software ISE Project Navigator, es necesario extraer el código VHDL del modelo del sistema diseñado en Simulink. Esto se logra con el mismo software HIL, seleccionando desde Simulink el bloque System Generator para acceder a las propiedades del bloque y dando click en el botón Generate ubicado en la esquina inferior izquierda. Este proceso genera el proyecto para el ISE que incluye los archivos VHDL necesarios para sintetizar el proyecto. Es importante mencionar que todos los archivos generados son guardados en la misma carpeta donde se encuentra el proyecto de Simulink; esto se debe a que el proyecto

7 del ISE requiere adjuntar el archivo.mdl de Matlab para que pueda sintetizarse correctamente. Spartan 3e 3s500efg320 4 System Generator ISE % Slices % Slice Flip Flops % LUTs % IOBs % GCLKs 1 1 4% Tabla 1. Comparación entre estimación y ocupación real dentro de la FPGA. 4. Conclusiones. El diseño basado en modelos permite un rápido desarrollo de prototipos, al no requerir de un extenso conocimiento de lenguajes HDL y por su semejanza con un diagrama a bloques del algoritmo a implementar. El uso de bloques para la representación del sistema facilita la depuración del diseño, al evitar la búsqueda de errores a través de extensos códigos fuente HDL. La verificación de resultados son más fácilmente interpretables debido al uso de bloques de medición de Simulink en comparación de los cronogramas de herramientas HDL. La principal ventaja de la Co Simulación es que los estímulos proporcionados a la FPGA son generados en la PC por lo que no es requerido el interconectado con transductores para probar la funcionalidad del sistema implementado en hardware. 5. Referencias.

8 [1] Hardware in the Loop Simulation Martin Gomez Noviembre URL: [2] Nuevas tendencias en el diseño electrónico digital: codiseño hardware/software Basil M. Al Hadithi, Juan Suardíaz Muro Revista y desarrollo, ISSN: , volumen II, Septiembre URL: [3] Novel Simulink blockset for image processing codesign Toledo, A.; Suardiaz, J.; Cuenca, S.; Grediaga, A. Electrotechnical Conference, MELECON IEEE Mediterranean, May 2006 Page(s): Digital Object Identifier: /MELCON [4] Tesis: de Ingeniero en Computación, Prototipádo rápido en fpga s basado en modelos implementados en System Generator y Matlab Héctor Jesús Cabrera Villaseñor Universidad de Guadalajara Junio [5] DAFX:Digital Audio Effects Udo Zolzer Wiley 1st edition (May 15, 2002). ISBN 13: páginas. [6] Performing Hardware in the Loop With the Spartan 3E Starter Kit Xilinx Quick Starts June 2006 URL: [7] System Generator for DSP 10.1 User Guide Xilinx March 2008 URL: Páginas ,

Sesión VI: Desarrollo de aplicaciones en el entorno MATLAB

Sesión VI: Desarrollo de aplicaciones en el entorno MATLAB 1 Sesión VI: Desarrollo de aplicaciones en el entorno MATLAB LPI: Control y Procesamiento Digital de Señales Por: Ricardo Alzate Castaño UNIVERSIDAD NACIONAL DE COLOMBIA Sede Manizales Segundo Semestre

Más detalles

CAPITULO 1 INTRODUCCION AL PROYECTO

CAPITULO 1 INTRODUCCION AL PROYECTO CAPITULO 1 INTRODUCCION AL PROYECTO 1 INTRODUCCION AL PROYECTO 1.1 Marco Teórico Los procesadores digitales de señales ganaron popularidad en los años sesentas con la introducción de la tecnología de estado

Más detalles

ESTRUCTURA BÁSICA DE UN ORDENADOR

ESTRUCTURA BÁSICA DE UN ORDENADOR ESTRUCTURA BÁSICA DE UN ORDENADOR QUÉ ES UN ORDENADOR? Un ordenador es una máquina... QUÉ ES UN ORDENADOR? Un ordenador es una máquina... QUÉ ES UN ORDENADOR? Un ordenador es una máquina... Qué son los

Más detalles

Diseño de DSP en FPGA con System Generator y MATLab. Jesús Barba Romero (30/05/2012)

Diseño de DSP en FPGA con System Generator y MATLab. Jesús Barba Romero (30/05/2012) Diseño de DSP en FPGA con System Generator y MATLab Jesús Barba Romero (30/05/2012) Agenda Introducción a System Generator y MATLab Creación de un sistema DSP con Simulink Creación de un sistema DSP con

Más detalles

Electrónica Digital II. Arquitecturas de las Celdas Lógicas. Octubre de 2014

Electrónica Digital II. Arquitecturas de las Celdas Lógicas. Octubre de 2014 Electrónica Digital II Arquitecturas de las Celdas Lógicas Octubre de 2014 Estructura General de los FPLDs Un FPLD típico contiene un número de celdas dispuestas en forma matricial, en las cuales se pueden

Más detalles

SIMULACIÓN CON PROTEUS

SIMULACIÓN CON PROTEUS UNIVERSIDAD DEL VALLE ESCUELA DE INGENIERIA ELÉCTRICA Y ELÉCTRONICA CÁTEDRA DE PERCEPCIÓN Y SISTEMAS INTELIGENTES LABORATORIO 2: PROTEUS 1. OBJETIVOS SIMULACIÓN CON PROTEUS Introducir al estudiante en

Más detalles

Diseño de una calculadora

Diseño de una calculadora DEPARTAMENTO DE TECNOLOGÍA ELECTRÓNICA ESCUELA TÉCNICA SUPERIOR DE INGENIERÍA INFORMÁTICA Diseño de una calculadora Sistemas Digitales Avanzados 1. Introducción y objetivos El propósito general de esta

Más detalles

XVI. utilizarlos de la forma más eficiente posible en el diseño de sistemas digitales.

XVI. utilizarlos de la forma más eficiente posible en el diseño de sistemas digitales. INTRODUCCIÓN En la actualidad el diseño de circuitos y sistemas digitales ha avanzado mucho respecto a sus orígenes. Ningún diseñador se plantea en la actualidad realizar un sistema digital mediante circuitos

Más detalles

Oliverio J. Santana Jaria. Sistemas Digitales Ingeniería Técnica en Informática de Sistemas Curso Los objetivos de este tema son:

Oliverio J. Santana Jaria. Sistemas Digitales Ingeniería Técnica en Informática de Sistemas Curso Los objetivos de este tema son: 3. Circuitos aritméticos ticos Oliverio J. Santana Jaria Sistemas Digitales Ingeniería Técnica en Informática de Sistemas Curso 2006 2007 Introducción La realización de operaciones aritméticas y lógicas

Más detalles

Objetivos. Instituto Tecnológico de Costa Rica Escuela de Ingeniería Electrónica I SEMESTRE 2007. Contenido del Curso EL FLUJO DE DISEÑO O DIGITAL

Objetivos. Instituto Tecnológico de Costa Rica Escuela de Ingeniería Electrónica I SEMESTRE 2007. Contenido del Curso EL FLUJO DE DISEÑO O DIGITAL Objetivos OBJETIVO GENERAL Laboratorio de Diseño o de Sistemas Digitales EL-3312 Diseñar, simular, sintetizar e implementar sistemas digitales usando lenguajes de alto nivel para la descripción de hardware

Más detalles

FUNCIONAMIENTO DEL ORDENADOR

FUNCIONAMIENTO DEL ORDENADOR FUNCIONAMIENTO DEL ORDENADOR COMPUTACIÓN E INFORMÁTICA Datos de entrada Dispositivos de Entrada ORDENADOR PROGRAMA Datos de salida Dispositivos de Salida LOS ORDENADORES FUNCIONAN CON PROGRAMAS Los ordenadores

Más detalles

Sistemas Electrónicos Digitales

Sistemas Electrónicos Digitales Sistemas Electrónicos Digitales Profesor: Carlos Herrera C. I. Unidad COMPUERTAS LOGICAS Las compuertas lógicas son dispositivos que operan con aquellos estados lógicos Binarios y que funcionan igual que

Más detalles

DISEÑO, IMPLEMENTACIÓN Y VERIFICACIÓN DE UN SISTEMA DE HARDWARE RECONFIGURABLE PARA APLICACIONES DE CONTROL.

DISEÑO, IMPLEMENTACIÓN Y VERIFICACIÓN DE UN SISTEMA DE HARDWARE RECONFIGURABLE PARA APLICACIONES DE CONTROL. DISEÑO, IMPLEMENTACIÓN Y VERIFICACIÓN DE UN SISTEMA DE HARDWARE RECONFIGURABLE PARA APLICACIONES DE CONTROL. Javier Ernesto Santos Estepa Universidad Distrital Francisco José De Caldas 2015. Bogotá-Colombia

Más detalles

Sistema electrónico digital (binario) que procesa datos siguiendo unas instrucciones almacenadas en su memoria

Sistema electrónico digital (binario) que procesa datos siguiendo unas instrucciones almacenadas en su memoria 1.2. Jerarquía de niveles de un computador Qué es un computador? Sistema electrónico digital (binario) que procesa datos siguiendo unas instrucciones almacenadas en su memoria Es un sistema tan complejo

Más detalles

Universidad de Carabobo Facultad Experimental de Ciencias y Tecnología Departamento de Computación Informe final de pasantía

Universidad de Carabobo Facultad Experimental de Ciencias y Tecnología Departamento de Computación Informe final de pasantía Universidad de Carabobo Facultad Experimental de Ciencias y Tecnología Departamento de Computación Informe final de pasantía Desarrollo de Componentes de software para el procesamiento de servicios web

Más detalles

INTRODUCCIÓN AL DISEÑO FPGA-DSP. Cristian Sisterna, MSc UNSJ-C7T

INTRODUCCIÓN AL DISEÑO FPGA-DSP. Cristian Sisterna, MSc UNSJ-C7T INTRODUCCIÓN AL DISEÑO FPGA-DSP Cristian Sisterna, MSc UNSJ-C7T AGENDA Introducción Bloques DSPs en ilinx FPGAs MatLab/Simulink Simulink ilinx System Generator Ejemplo de Diseño con SysGen 2 ALGORITMOS

Más detalles

CONTROLES ELÉCTRICOS PRÁCTICA 6: PROGRAMACIÓN DE PLC UNIDAD 5 LIRA MARTÍNEZ MANUEL ALEJANDRO DOCENTE: PACHECO HIPÓLITO JAVIER

CONTROLES ELÉCTRICOS PRÁCTICA 6: PROGRAMACIÓN DE PLC UNIDAD 5 LIRA MARTÍNEZ MANUEL ALEJANDRO DOCENTE: PACHECO HIPÓLITO JAVIER CONTROLES ELÉCTRICOS PRÁCTICA 6: PROGRAMACIÓN DE PLC UNIDAD 5 LIRA MARTÍNEZ MANUEL ALEJANDRO DOCENTE: PACHECO HIPÓLITO JAVIER ENTREGA: 26/11/2010 1 INTRODUCCIÓN La tecnología es cada vez más sencilla de

Más detalles

Objetivos. Objetivos. Arquitectura de Computadores. R.Mitnik

Objetivos. Objetivos. Arquitectura de Computadores. R.Mitnik Objetivos Objetivos Arquitecturas von Neumann Otras Unidad Central de Procesamiento (CPU) Responsabilidades Requisitos Partes de una CPU ALU Control & Decode Registros Electrónica y buses 2 Índice Capítulo

Más detalles

Diseño e implementación de una Base Informática de Consultores en Eficiencia Energética

Diseño e implementación de una Base Informática de Consultores en Eficiencia Energética Diseño e implementación de una Base Informática de Consultores en Eficiencia Energética MINISTERIO DE ENERGÍA, GOBIERNO DE CHILE INFORME DE AVANCE N 3 Versión 1.0 Licitación N 5685-60-LE09 Resolución Exenta

Más detalles

Filtrado de imágenes usando hardware dedicado

Filtrado de imágenes usando hardware dedicado Filtrado de imágenes usando hardware dedicado Acosta Nelson & Tosini Marcelo INCA/INTIA Depto. Computación y Sistemas Fac. Cs. exactas UNCPBA (7000) Tandil Argentina Email: { nacosta, mtosini }@exa.unicen.edu.ar

Más detalles

Modelo de los reactores de la tecnología HDHPLUS como componentes de simulación interoperables

Modelo de los reactores de la tecnología HDHPLUS como componentes de simulación interoperables Modelo de los reactores de la tecnología HDHPLUS como componentes de Noviembre, 2010 Agenda PDVSA S.A, historia y desarrollo Motivación del proyecto CAPE OPEN Objetivos Beneficios Detalles de la implementación

Más detalles

Registros de desplazamiento

Registros de desplazamiento Registros de desplazamiento Definición de registro de desplazamiento básico Tipos de registro de desplazamiento Configuraciones específicas Aplicaciones más típicas VHDL Ejercicio propuestos Definición

Más detalles

Laboratorio de Instrumentación y Sistemas de adquisición de datos en la Universidad Politécnica de Valencia

Laboratorio de Instrumentación y Sistemas de adquisición de datos en la Universidad Politécnica de Valencia Laboratorio de Instrumentación y Sistemas de adquisición de datos en la Universidad Politécnica de Valencia "LabVIEW no es sólo un lenguaje de programación sino un entorno de programación donde existen

Más detalles

TÉCNICO SUPERIOR UNIVERSITARIO EN MECATRÓNICA ÁREA AUTOMATIZACIÓN EN COMPETENCIAS PROFESIONALES ASIGNATURA DE LENGUAJE DE PROGRAMACIÓN

TÉCNICO SUPERIOR UNIVERSITARIO EN MECATRÓNICA ÁREA AUTOMATIZACIÓN EN COMPETENCIAS PROFESIONALES ASIGNATURA DE LENGUAJE DE PROGRAMACIÓN TÉCNICO SUPERIOR UNIVERSITARIO EN MECATRÓNICA ÁREA AUTOMATIZACIÓN EN COMPETENCIAS PROFESIONALES ASIGNATURA DE LENGUAJE DE PROGRAMACIÓN 1. Competencias Implementar sistemas de medición y control bajo los

Más detalles

Implementación de efectos acústicos

Implementación de efectos acústicos Práctica 3: Implementación de efectos acústicos 3.0.- Objetivos Al finalizar esta práctica, el alumno debe ser capaz de: Grabar una señal de voz procesarla en Matlab. Añadir un eco, con diferente amplitud

Más detalles

AUTOMATIZACIÓN INDUSTRIAL

AUTOMATIZACIÓN INDUSTRIAL Departamento de Ingenieria de Sistemas y Automática AUTOMATIZACIÓN INDUSTRIAL 1 AUTOMATIZACION INDUSTRIAL 2 AUTOMATIZACION INDUSTRIAL 3 AUTOMATAS PROGRAMABLES Surgen de la necesidad de controlar automáticamente

Más detalles

Técnicas Avanzadas de Control Memoria de ejercicios

Técnicas Avanzadas de Control Memoria de ejercicios Memoria de ejercicios Curso: 2007/08 Titulación: Ingeniero Técnico Industrial Especialidad: Electrónica Industrial Alumno: Adolfo Hilario Tutor: Adolfo Hilario Caballero Índice general Presentación. 2..

Más detalles

SÍNTESIS DE SISTEMAS DE CONTROL DIFUSOS MEDIANTE HERRAMIENTAS DE DISEÑO DSP SOBRE FPGAS 1

SÍNTESIS DE SISTEMAS DE CONTROL DIFUSOS MEDIANTE HERRAMIENTAS DE DISEÑO DSP SOBRE FPGAS 1 SÍNTESIS DE SISTEMAS DE CONTROL DIFUSOS MEDIANTE HERRAMIENTAS DE DISEÑO DSP SOBRE FPGAS 1 S. Sánchez-Solano 1, M. Brox 2, A. Cabrera 3 1 Instituto de Microelectrónica de Sevilla (CNM-CSIC). Sevilla, España.

Más detalles

MICROSOFT EXCEL 2016 Avanzado

MICROSOFT EXCEL 2016 Avanzado MICROSOFT EXCEL 2016 Avanzado METODOLOGÍA DE LOS CURSOS Cursos interactivos sobre materias especializadas en los que el alumno avanza de forma guiada bajo una concepción learning by doing (aprender haciendo).

Más detalles

Matemáticas Básicas para Computación

Matemáticas Básicas para Computación Matemáticas Básicas para Computación MATEMÁTICAS BÁSICAS PARA COMPUTACIÓN 1 Sesión No. 7 Nombre: Compuertas Lógicas Objetivo Al término de la sesión el participante aplicará los conceptos de compuertas

Más detalles

TRAYECTO SISTEMÁTICO DISEÑO DE SISTEMAS EMBEBIDOS

TRAYECTO SISTEMÁTICO DISEÑO DE SISTEMAS EMBEBIDOS TRAYECTO SISTEMÁTICO DISEÑO DE SISTEMAS EMBEBIDOS LENGUAJE C, MICROCONTROLADORES, FPGA, RTOS, APLICACIONES I Departamento de Sistemas e Informática FACULTAD DE CIENCIAS EXACTAS, INGENIERÍA Y AGRIMENSURA

Más detalles

SERVICIO NACIONAL DE APRENDIZAJE SENA SISTEMA INTEGRADO DE GESTIÓN Procedimiento Ejecución de la Formación Profesional Integral GUÍA DE APRENDIZAJE

SERVICIO NACIONAL DE APRENDIZAJE SENA SISTEMA INTEGRADO DE GESTIÓN Procedimiento Ejecución de la Formación Profesional Integral GUÍA DE APRENDIZAJE Código: F004-P006- GFPI Nº 23 1. IDENTIFICACIÓN DE LA GUIA DE APRENDIZAJE Programa de Formación: Técnico en programación de software Código:228120 Versión: 102 Nombre del Proyecto: SISTEMA DE INFORMACIÓN

Más detalles

Fundamentos de Ingeniería de Software [Etapas II]

Fundamentos de Ingeniería de Software [Etapas II] Fundamentos de Ingeniería de Software [Etapas II] M. en C. Sergio Luis Pérez Pérez UAM CUAJIMALPA, MÉXICO, D. F. Trimestre 13-I Sergio Luis Pérez (UAM CUAJIMALPA) Curso de fundamentos de ing. de software

Más detalles

VOCABULARIO DEL HARDWARE. Docente Yeni Ávila

VOCABULARIO DEL HARDWARE. Docente Yeni Ávila VOCABULARIO DEL HARDWARE Docente Yeni Ávila HARDWARE El Hardware Básico: son las piezas fundamentales e imprescindibles para que la computadora funcione como son: Placa base, monitor, teclado y ratón.

Más detalles

: Algorítmica y Estructura de Datos I

: Algorítmica y Estructura de Datos I FACULTAD POLITÉCNICA DIRECCIÓN ACADÉMICA PROGRAMA DE ESTUDIO I. IDENTIFICACIÓN Carrera : Ingeniería de Sistemas CARGA HORARIA (Horas reloj) Asignatura : Algorítmica y Estructura de Datos I Carga Horaria

Más detalles

Pasos para conectar la CPLD al cable JTAG USB

Pasos para conectar la CPLD al cable JTAG USB Pasos para conectar la CPLD al cable JTAG USB 1) El pin VIO, pin 5V van conectados a 5V(No energice aun la CPLD) 2) En el Pin VIO se recomienda poner una resistencia en serie de 330ohms desde 5v al Pin

Más detalles

SISTEMA DE ADQUISICIÓN, ALMACENAMIENTO Y VISUALIZACIÓN DE DATOS EN PC UTILIZANDO SENSORES MEMS (ACELERÓMETROS)

SISTEMA DE ADQUISICIÓN, ALMACENAMIENTO Y VISUALIZACIÓN DE DATOS EN PC UTILIZANDO SENSORES MEMS (ACELERÓMETROS) SISTEMA DE ADQUISICIÓN, ALMACENAMIENTO Y VISUALIZACIÓN DE DATOS EN PC UTILIZANDO SENSORES MEMS (ACELERÓMETROS) Bernardi, Emanuel 1 ; Moriondo, Darío 2 ; Peretti, Gastón 3. (1) Alumno Universidad Tecnológica

Más detalles

Tema 2 Introducción a la Programación en C.

Tema 2 Introducción a la Programación en C. Tema 2 Introducción a la Programación en C. Contenidos 1. Conceptos Básicos 1.1 Definiciones. 1.2 El Proceso de Desarrollo de Software. 2. Lenguajes de Programación. 2.1 Definición y Tipos de Lenguajes

Más detalles

Interfaces. Carrera: SCF Participantes. Representantes de la academia de sistemas y computación de los Institutos Tecnológicos.

Interfaces. Carrera: SCF Participantes. Representantes de la academia de sistemas y computación de los Institutos Tecnológicos. 1.- DATOS DE LA ASIGNATURA Nombre de la asignatura: Carrera: Clave de la asignatura: Horas teoría-horas práctica-créditos Interfaces Ingeniería en Sistemas Computacionales SCF - 0417 2-4-8 2.- HISTORIA

Más detalles

CAPÍTULO 1 INTRODUCCIÓN

CAPÍTULO 1 INTRODUCCIÓN CAPÍTULO 1 INTRODUCCIÓN INTRODUCCIÓN La UDLA en colaboración con el IMTA, se han planteado el objetivo de desarrollar un prototipo de globo meteorológico. Será un equipo que pueda ser enviado hacia cualquier

Más detalles

SISTEMAS DE DETECCIÓN DE INTRUSOS EN LA PLATAFORMA NETFPGA USANDO RECONOCIMIENTO DE EXPRESIONES REGULARES.

SISTEMAS DE DETECCIÓN DE INTRUSOS EN LA PLATAFORMA NETFPGA USANDO RECONOCIMIENTO DE EXPRESIONES REGULARES. Título del trabajo de grado: SISTEMAS DE DETECCIÓN DE INTRUSOS EN LA PLATAFORMA NETFPGA USANDO RECONOCIMIENTO DE EXPRESIONES REGULARES. Estudiante de Maestría: Antonio Lobo Director del Proyecto: Prof.

Más detalles

Sistemas Electrónicos Digitales. Práctica 2 Implementación de un filtro FIR basado en estructura MAC

Sistemas Electrónicos Digitales. Práctica 2 Implementación de un filtro FIR basado en estructura MAC Sistemas Electrónicos igitales 2 o Ing. Telecomunicación Práctica 2 Implementación de un filtro FIR basado en estructura MAC Javier Toledo Moreo pto. Electrónica, Tecnología de Computadoras y Proyectos

Más detalles

DISEÑO E IMPLEMENTACIÓN DE UN MÓDULO DIDÁCTICO PARA LA MEDICIÓN DEL RITMO CARDÍACO MEDIANTE LA TÉCNICA DE PULSIOMETRÍA

DISEÑO E IMPLEMENTACIÓN DE UN MÓDULO DIDÁCTICO PARA LA MEDICIÓN DEL RITMO CARDÍACO MEDIANTE LA TÉCNICA DE PULSIOMETRÍA DISEÑO E IMPLEMENTACIÓN DE UN MÓDULO DIDÁCTICO PARA LA MEDICIÓN DEL RITMO CARDÍACO MEDIANTE LA TÉCNICA DE PULSIOMETRÍA DIRECTOR: ING. FRANKLIN SILVA CODIRECTOR: ING. FABRICIO PÉREZ AUTOR: GALO ANDRADE.

Más detalles

NoMADA Go White Paper [REV 008A-NoMADA-08/15] Especificaciones Técnicas NoMADA Go. Diseñado por: NoMADA Go White Paper

NoMADA Go White Paper [REV 008A-NoMADA-08/15] Especificaciones Técnicas NoMADA Go. Diseñado por: NoMADA Go White Paper NoMADA Go White Paper [REV 008A-NoMADA-08/15] Especificaciones Técnicas NoMADA Go Diseñado por: NoMADA Go es una herramienta que permite crear aplicaciones mecatrónicas utilizando el microcontrolador AVR

Más detalles

Sistemas Electrónicos Digitales Curso de adaptación al Grado

Sistemas Electrónicos Digitales Curso de adaptación al Grado Práctica Práctica 2 Sistemas Electrónicos Digitales Curso de adaptación al Grado Sistemas combinacionales con VHDL Universidad de Alicante Ángel Grediaga 2 Índice INTRODUCCIÓN... 3 2 CIRCUITOS COMBINACIONALES...

Más detalles

Figura 8.1. Autómata programable S7 314 de Siemens con módulos de entrada/salida concentrada

Figura 8.1. Autómata programable S7 314 de Siemens con módulos de entrada/salida concentrada Figura 8.1. Autómata programable S7 314 de Siemens con módulos de entrada/salida concentrada o local. Figura 8.2. Autómata programable de la familia S7-400 conectado a una unidad de entrada/salida remota.

Más detalles

Descripción en VHDL de circuitos aritméticos para campos finitos GF(2 m )

Descripción en VHDL de circuitos aritméticos para campos finitos GF(2 m ) Descripción en VHDL de circuitos aritméticos para campos finitos GF(2 m ) Presenta: Mario Alberto García-Martínez 1 Contenido Introducción Generalidades El exponenciador para GF(2 m ) El multiplicador

Más detalles

PORTAL PAGO PRESTADORES WEB

PORTAL PAGO PRESTADORES WEB PORTAL PAGO PRESTADORES WEB MANUAL DE INGRESO SOLICITUD DE PAGO ISAPRE BANMÉDICA Nombre: Operaciones Versión documento 1.3 Versión del sistema 2.0.11 Contenido 1 CAMBIO CORREO ELECTRONICO... 4 A. Ingreso

Más detalles

Metodología de diseño en FPGA usando Xilinx System Generator

Metodología de diseño en FPGA usando Xilinx System Generator Metodología de diseño en FPGA usando Xilinx System Generator Manuel Rodriguez Valido mrvalido@ull.es Eduardo Magdaleno Castello emagcas@ull.es Fernando Pérez Nava Dpto. Estadística, Investigación Operativa

Más detalles

Anexo 10. Pruebas verificadas

Anexo 10. Pruebas verificadas 1 Anexo 10. Pruebas verificadas Introducción El proceso de pruebas inició con una revisión conceptual para la identificación de las pruebas por realizar, a partir de las características del proyecto. En

Más detalles

ORGANISMO COORDINADOR DEL SISTEMA ELÉCTRICO NACIONAL INTERCONECTADO DE LA REPÚBLICA DOMINICANA

ORGANISMO COORDINADOR DEL SISTEMA ELÉCTRICO NACIONAL INTERCONECTADO DE LA REPÚBLICA DOMINICANA ORGANISMO COORDINADOR DEL SISTEMA ELÉCTRICO NACIONAL INTERCONECTADO DE LA REPÚBLICA DOMINICANA OC-GC-14-REQPATE-2016-V0 PARA: ORGANISMO COORDINADOR PREPARADO POR: GERENCIA COMERCIAL V0 PREPARADO POR REVISADO

Más detalles

Introducción al procesamiento digital de señales en tiempo real

Introducción al procesamiento digital de señales en tiempo real Introducción al procesamiento digital de señales en tiempo real ELO 385 Laboratorio de Procesamiento Digital de Señales Segundo semestre - 2011 Matías Zañartu, Ph.D. Departamento de Electrónica Universidad

Más detalles

PROGRAMA DE ESTÍMULOS A LA INNOVACIÓN

PROGRAMA DE ESTÍMULOS A LA INNOVACIÓN TÍTULO DEL PROYECTO: Planta automatizada de tratamiento y reciclaje para autolavados. EMPRESA BENEFICIADA: Tecnología Renovable de México S.A. de C.V. MODALIDAD: PROINNOVA MONTO DE APOYO OTORGADO POR EL

Más detalles

Resultado de Aprendizaje:

Resultado de Aprendizaje: 10. Matriz de Valoración o Rúbrica MATRIZ DE VALORACIÓN O RÚBRICA Siglema: PROO-02 Nombre del Módulo: Nombre del Alumno: Docente evaluador: Grupo: Fecha: Resultado de Aprendizaje: 1.1 Diseña modelos bajo

Más detalles

Controlador PID con anti-windup

Controlador PID con anti-windup Laboratorio de Control de Procesos Industriales Práctica 1 Controlador PID con anti-windup 1 de noviembre de 2008 Introducción 2 INTRODUCCIÓN REGULADORES PID La idea básica del controlador PID es simple

Más detalles

Dispositivos Digitales. EL-611 Complemento de Diseño Lógico y. Dispositivos Digitales

Dispositivos Digitales. EL-611 Complemento de Diseño Lógico y. Dispositivos Digitales EL-611 Complemento de Diseño Lógico y Objetivos y Evaluación Segundo Curso de Sistemas Digitales Complementar Materia Enfoque Diseños de Mayor Envergadura 1 Control + Examen y 6 Ejercicios (aprox.) Tareas

Más detalles

METODOLOGIAS DE DISEÑO PARA COMPUTACIÓN RECONFIGURABLE.

METODOLOGIAS DE DISEÑO PARA COMPUTACIÓN RECONFIGURABLE. METODOLOGIAS DE DISEÑO PARA COMPUTACIÓN RECONFIGURABLE. Iñigo Aguirre; Jose Angel Ezquerra. Universidad del País Vasco. Euskal Herriko Unibertsitatea. jtpagpoi@sc.ehu.es. RESUMEN Este trabajo tiene por

Más detalles

CURSO DE FORMULACION Y PREPARACION DE PROGRAMAS DE INVERSION PUBLICA 2015

CURSO DE FORMULACION Y PREPARACION DE PROGRAMAS DE INVERSION PUBLICA 2015 CURSO DE FORMULACION Y PREPARACION DE PROGRAMAS DE INVERSION PUBLICA 2015 ANTECEDENTES El Curso forma parte del Programa de Capacitación del Sistema Nacional de Inversiones del Ministerio de Desarrollo

Más detalles

Tema: Microprocesadores

Tema: Microprocesadores Universidad Nacional de Ingeniería Arquitectura de Maquinas I Unidad I: Introducción a los Microprocesadores y Microcontroladores. Tema: Microprocesadores Arq. de Computadora I Ing. Carlos Ortega H. 1

Más detalles

Perfilómetro Laser para Medición de Perfil Transversal y Cálculo del Ahuellamiento de Pavimentos en Tiempo Real

Perfilómetro Laser para Medición de Perfil Transversal y Cálculo del Ahuellamiento de Pavimentos en Tiempo Real Perfilómetro Laser para Medición de Perfil Transversal y Cálculo del Ahuellamiento de Pavimentos en Tiempo Real "Usar la plataforma de hardware modular NI PXI y el software de desarrollo gráfico NI LabVIEW,

Más detalles

Partes Internas y Externas de la Computadora

Partes Internas y Externas de la Computadora Partes Internas y Externas de la Computadora La computadora está compuesta por hardware que se clasifican según donde se encuentran: PARTES EXTERNAS MONITOR: es un dispositivo de salida que, mediante una

Más detalles

Desarrollo de aplicaciones de acceso a base de datos con JBuilder 7

Desarrollo de aplicaciones de acceso a base de datos con JBuilder 7 Desarrollo de aplicaciones de acceso a base de datos con JBuilder 7 Este artículo trata sobre el desarrollo de aplicaciones de acceso a base de datos con la herramienta JBuilder7. Tras una breve introducción,

Más detalles

UNIVERSIDAD NACIONAL DE SAN ANTONIO ABAD DEL CUSCO

UNIVERSIDAD NACIONAL DE SAN ANTONIO ABAD DEL CUSCO FACULTAD DE CS. QUIMICAS, FISICAS Y MATEMATICAS I. DATOS GENERALES DEPARTAMENTO ACADEMICO DE INFORMATICA SILABO 1.1 Asignatura : INTRODUCCION A LA INFORMATICA 1.2 Categoría : OE 1.3 Código : IF172AME 1.4

Más detalles

Tutor: Ing. Eddie Galarza. Autores: Edison Xavier Sánchez Quevedo Edison Saúl Gallardo Calvopiña

Tutor: Ing. Eddie Galarza. Autores: Edison Xavier Sánchez Quevedo Edison Saúl Gallardo Calvopiña DISEÑO Y CONSTRUCCIÓN DE UN SISTEMA DE AUTENTIFICACIÓN CON RECONOCIMIENTO FACIAL MEDIANTE PROCESAMIENTO DE IMÁGENES CON LA UTILIZACIÓN DE SOFTWARE LIBRE Y TECNOLOGÍA RASPBERRY PI Autores: Edison Xavier

Más detalles

TEMA 1: Concepto de ordenador

TEMA 1: Concepto de ordenador TEMA 1: Concepto de ordenador 1.1 Introducción Los ordenadores necesitan para su funcionamiento programas. Sin un programa un ordenador es completamente inútil. Para escribir estos programas necesitamos

Más detalles

Autor: Max Glisser - Jefe de Proyectos

Autor: Max Glisser - Jefe de Proyectos Comparación de niveles de potencia acústica para maquinarias medidas en terreno por Control Acústico Ltda. y niveles establecidos por la Norma Británica BS5228. Autor: Max Glisser - Jefe de Proyectos RESUMEN

Más detalles

Herramientas Informáticas para la Edición, Creación e Implementación del Modelo CIM Francisco Javier Arias Sánchez Universidad Nacional de Colombia

Herramientas Informáticas para la Edición, Creación e Implementación del Modelo CIM Francisco Javier Arias Sánchez Universidad Nacional de Colombia Herramientas Informáticas para la Edición, Creación e Implementación del Modelo CIM Francisco Javier Arias Sánchez Universidad Nacional de Colombia Sede Medellín Grupo T&T fjariass@gmail.com Agenda Definición

Más detalles

LABORATORIO No. 3 MODELAMIENTO Y ANALISIS DINAMICO DE SISTEMAS ELECTRICOS

LABORATORIO No. 3 MODELAMIENTO Y ANALISIS DINAMICO DE SISTEMAS ELECTRICOS UNIVERSIDAD FRANCISCO DE PAULA SANTANDER FACULTAD DE INGENIERIA INGENIERÍA ELECTRÓNICA 1 SISTEMAS DINAMICOS 1160601 LABORATORIO No. 3 MODELAMIENTO Y ANALISIS DINAMICO DE SISTEMAS ELECTRICOS INSTRUCCIONES

Más detalles

Nombre de la asignatura: Programación Básica. Créditos: Objetivo de aprendizaje

Nombre de la asignatura: Programación Básica. Créditos: Objetivo de aprendizaje Nombre de la asignatura: Programación Básica Créditos: 2 4-6 Objetivo de aprendizaje Plantear metodológicamente la solución de problemas susceptibles de ser computarizados a través del manejo de técnicas

Más detalles

Plan de curso Sílabo-

Plan de curso Sílabo- Plan de curso Sílabo- a. Asignatura b. Nro. Créditos c. Código Control Digital 3 d. Horas de trabajo directo con el docente 48 Semestrales 3 Semanales e. Horas de trabajo autónomo del estudiante 96 Semestrales

Más detalles

Curso ARDUINO PRACTICO NIVEL 1 (Cód. ARDUI-1)

Curso ARDUINO PRACTICO NIVEL 1 (Cód. ARDUI-1) Curso ARDUINO PRACTICO NIVEL 1 (Cód. ARDUI-1) Enfocado a principiantes, aficionados y profesionales.. Código ARDUI-1 Contenido: Objetivos y temario del curso José Manuel Infante 901, Providencia, Santiago

Más detalles

ARQUITECTURA BÁSICA DEL ORDENADOR: Hardware y Software. IES Miguel de Cervantes de Sevilla

ARQUITECTURA BÁSICA DEL ORDENADOR: Hardware y Software. IES Miguel de Cervantes de Sevilla ARQUITECTURA BÁSICA DEL ORDENADOR: Hardware y Software. IES Miguel de Cervantes de Sevilla Índice de contenido 1.- Qué es un ordenador?...3 2.-Hardware básico de un ordenador:...3 3.-Software...4 3.1.-Software

Más detalles

Introducción a los Sistemas Digitales. Tema 1

Introducción a los Sistemas Digitales. Tema 1 Introducción a los Sistemas Digitales Tema 1 Qué sabrás al final del tema? Diferencia entre analógico y digital Cómo se usan niveles de tensión para representar magnitudes digitales Parámetros de una señal

Más detalles

ESTUDIO SOBRE LA IMPLEMENTACIÓN DE REDES NEURONALES ARTIFICIALES USANDO XILINX SYSTEM GENERATOR

ESTUDIO SOBRE LA IMPLEMENTACIÓN DE REDES NEURONALES ARTIFICIALES USANDO XILINX SYSTEM GENERATOR ESTUDIO SOBRE LA IMPLEMENTACIÓN DE REDES NEURONALES ARTIFICIALES USANDO XILINX SYSTEM GENERATOR (1) Juan Carlos Moctezuma Eugenio, (2) César Torres Huitzil (1) Facultad de Ciencias de la Computación, Benemérita

Más detalles

CONTROLADORES LÓGICOS PROGRAMABLES

CONTROLADORES LÓGICOS PROGRAMABLES CONTROLADORES LÓGICOS PROGRAMABLES NIVELES DE AUTOMATIZACIÓN HISTORIA DE LOS P.L.C Los PLC fueron inventados en respuesta a las necesidades de la automatización de la industria automotriz norteamericana

Más detalles

Se definen los siguientes objetivos que se extraen de la propuesta anteriormente planteada:

Se definen los siguientes objetivos que se extraen de la propuesta anteriormente planteada: Anexo Introducción Esta herramienta desarrollada junto con toda la información que se ha generado pertenece a un proyecto real. Este desarrollo se incluye en el proyecto MAVSEL (Minería, Análisis y Visualización

Más detalles

Introducción a la arquitectura de computadores

Introducción a la arquitectura de computadores Introducción a la arquitectura de computadores Departamento de Arquitectura de Computadores Arquitectura de computadores Se refiere a los atributos visibles por el programador que trabaja en lenguaje máquina

Más detalles

CAPITULO 5 RESULTADOS Y CONCLUSIONES

CAPITULO 5 RESULTADOS Y CONCLUSIONES CAPITULO 5 RESULTADOS Y CONCLUSIONES A continuación se describirán los resultados obtenidos durante las pruebas realizadas mencionadas en el capítulo anterior, también se observarán las capacidades de

Más detalles

OFERTA PFC DEPARTAMENTO DTE (ÁREAS: TELEMÁTICA y ELECTRÓNICA) OTOÑO

OFERTA PFC DEPARTAMENTO DTE (ÁREAS: TELEMÁTICA y ELECTRÓNICA) OTOÑO OFERTA PFC DEPARTAMENTO DTE (ÁREAS: TELEMÁTICA y ELECTRÓNICA) OTOÑO 2016-17 Procedimiento y plazos para solicitar el PFC en el Dpto. La oferta de PFC se publicará el viernes 16 de septiembre en los tablones

Más detalles

Nueva aplicación para acceder a casilla electrónica en Internet

Nueva aplicación para acceder a casilla electrónica en Internet Nueva aplicación para acceder a casilla electrónica en Internet Antecedentes El servicio informático de mensajería electrónica es actualmente el de mayor demanda por parte de la comunidad universitaria.

Más detalles

Hoja de ruta. Entorno de aprendizaje práctico

Hoja de ruta. Entorno de aprendizaje práctico Hoja de ruta Entorno de aprendizaje práctico Actividad: instalación, configuración, prueba y utilización de MySQL, MySQL workbench y XAMPP para gestionar una base de datos Objetivo: Poner en práctica los

Más detalles

DES: Programa(s) Educativo(s): Tipo de materia: Clave de la materia: Semestre: Área en plan de estudios:

DES: Programa(s) Educativo(s): Tipo de materia: Clave de la materia: Semestre: Área en plan de estudios: UNIVERSIDAD AUTÓNOMA DE CHIHUAHUA Clave: 08MSU0017H Clave: 08USU4053W FACULTAD DE INGENIERÍA PROGRAMA DEL CURSO: INGENIERÍA DE SOFTWARE Y COMPUTACIÓN I DES: Programa(s) Educativo(s): Tipo de materia: Clave

Más detalles

Lab 5: Contador decimal en System Generator

Lab 5: Contador decimal en System Generator Lab 5: Contador decimal en System Generator Introducción Objetivos Este laboratorio guia al asistente a través de las herramientas System Generator y Simulink para analizar la representación de números

Más detalles

Práctica 3: Monitoreo y Depuración de Programas

Práctica 3: Monitoreo y Depuración de Programas ITESM Campus Monterrey Depto. de Ing. Eléctrica Laboratorio de Teleingeniería Práctica 3: Monitoreo y Depuración de Programas Objetivo: Que el alumno comprenda la utilidad de monitorear un programa en

Más detalles

SENDING LINSN CARD 801 Y 802. alfalite. awww.alfalite.com TARJETA EMISORA DE VÍDEO. Dossier SENDING LINSN CARD 801 Y 802

SENDING LINSN CARD 801 Y 802. alfalite. awww.alfalite.com TARJETA EMISORA DE VÍDEO. Dossier SENDING LINSN CARD 801 Y 802 Dossier SENDING LINSN CARD 801 Y 802 802 801 SENDING LINSN CARD 801 Y 802 TARJETA EMISORA DE VÍDEO a P.I. Industrial Doña Paca, C/ Zalema, 2 21720, Rociana del Condado (Huelva), spain SENDING CARD LINSN

Más detalles

PUERTAS LOGICAS. Objetivo específico Conectar los circuitos integrados CI TTL Comprobar el funcionamiento lógico del AND, OR, NOT, NAND y NOR

PUERTAS LOGICAS. Objetivo específico Conectar los circuitos integrados CI TTL Comprobar el funcionamiento lógico del AND, OR, NOT, NAND y NOR Cód. 25243 Laboratorio electrónico Nº 5 PUERTAS LOGICAS Objetivo Aplicar los conocimientos de puertas lógicas Familiarizarse con los circuitos integrados Objetivo específico Conectar los circuitos integrados

Más detalles

Pontifica Universidad Católica de Chile Departamento de Ingeniería Eléctrica

Pontifica Universidad Católica de Chile Departamento de Ingeniería Eléctrica Pontifica Universidad Católica de Chile Departamento de Ingeniería Eléctrica IEE 2682 LABORATORIO DE CONTROL AUTOMÁTICO EXPERIENCIA CONTROLADORES LOGICOS PROGRAMABLES 1. OBJETIVOS Los Controladores Lógicos

Más detalles

La conectividad es la clave para una tecnología avanzada de ECG. Los sistemas de ECG no

La conectividad es la clave para una tecnología avanzada de ECG. Los sistemas de ECG no ELECTROCARDIÓGRAFOS MARCA GENERAL ELECTRIC MAC 800 La conectividad es la clave para una tecnología avanzada de ECG. Los sistemas de ECG no sólo deben ofrecer la suficiente portabilidad como para llegar

Más detalles

XII Congreso de Confiabilidad Cádiz 2010 AUTOMATIZACIÓN DEL DISEÑO DE SISTEMAS ELECTRÓNICOS CON ESPECIFICACIONES DE FIABILIDAD

XII Congreso de Confiabilidad Cádiz 2010 AUTOMATIZACIÓN DEL DISEÑO DE SISTEMAS ELECTRÓNICOS CON ESPECIFICACIONES DE FIABILIDAD XII Congreso de Confiabilidad Cádiz 2010 AUTOMATIZACIÓN DEL DISEÑO DE SISTEMAS ELECTRÓNICOS CON ESPECIFICACIONES DE FIABILIDAD Jorge Marcos, Félix Santiago, Diego Bóveda Índice Introducción Motivación

Más detalles

Componentes indispensables Un (1) 74LS181 ALU Un (1) 74 LS 47 Un display 7seg Ánodo Común

Componentes indispensables Un (1) 74LS181 ALU Un (1) 74 LS 47 Un display 7seg Ánodo Común Universidad Simón Bolívar Departamento de Electrónica y Circuitos EC1723, Circuitos Digitales Trimestre Septiembre-Diciembre 2012 Laboratorio - Práctica 2: Circuitos Combinatorios de Media Escala de Integración

Más detalles

CURSO EXPERTO EN MACROS CON MICROSOFT EXCEL NIVEL AVANZADO

CURSO EXPERTO EN MACROS CON MICROSOFT EXCEL NIVEL AVANZADO CURSO EXPERTO EN MACROS CON MICROSOFT EXCEL NIVEL AVANZADO 1. PERIODO DE EJECUCIÓN Duración: 36 Horas Modalidad: Presencial GRUPO A: Fecha de Inicio: 15 de agosto de 2016 Horario: Lunes a Viernes 19:00

Más detalles

Trabajo opcional tema 3: modulación lineal

Trabajo opcional tema 3: modulación lineal Trabajo opcional tema 3: modulación lineal Alberto Mateos Checa I. Telecomunicación 2 Trabajo opcional tema 3: modulación lineal ÍNDICE DE CONTENIDOS: 1. Introducción.... 3 2. Diseño.... 3 2.1. Sistema

Más detalles

Objetos de aprendizaje: Computadora

Objetos de aprendizaje: Computadora MOMENTO I. BLOQUE 1. Opera las funciones básicas del sistema operativo y garantiza la seguridad de la información Objetos de aprendizaje: Computadora Lectura 1: La Computadora La computadora es una máquina

Más detalles

Instituto Schneider Electric de Formación

Instituto Schneider Electric de Formación Unity Pro & M340 Capítulo 1: Introducción a Unity Pro con Modicon M340 Introducción a Unity Pro A - Presentación de Unity Pro p. 3 B - Unity Pro p. 8 C - Consejos generales para desarrollar una aplicación

Más detalles

Simulación de un Regulador Difuso de Velocidad/Frecuencia tipo Takagi-Sugeno para un Motor de CD-Alternador con Lazo de Corriente

Simulación de un Regulador Difuso de Velocidad/Frecuencia tipo Takagi-Sugeno para un Motor de CD-Alternador con Lazo de Corriente Journal of Vectorial Relativity JVR 4 (9) 4 77-87 Simulación de un Regulador Difuso de Velocidad/Frecuencia tipo Takagi-Sugeno para un Motor de CD-Alternador con Lazo de Corriente J Herrera-Espinosa, V

Más detalles

Ahora tú también puedes crear aplicaciones

Ahora tú también puedes crear aplicaciones Ahora tú también puedes crear aplicaciones Qué son las aplicaciones? Una aplicación es un software, podemos decir que las aplicaciones son para los móviles lo que los programas son para los ordenadores

Más detalles

Visa, una Herramienta para hacer crecer tu Negocio

Visa, una Herramienta para hacer crecer tu Negocio Visa, una Herramienta para hacer crecer tu Negocio Quiénes somos? VisaNet Perú es una empresa orientada a prestar servicios que permiten a los establecimientos comerciales el cobro de productos y servicios

Más detalles

OBJETIVOS: CONTENIDO:

OBJETIVOS: CONTENIDO: DOCENTE FORMADOR: INTRODUCCIÓN: 1 Lic. ELÍAS RIVERA DÁVILA La Robótica Educativa es la generación de entornos de Aprendizaje basados principalmente en la iniciativa y la actividad de los estudiantes. Ellos

Más detalles

UNIVERSIDAD NACIONAL FEDERICO VILLARREAL FACULTAD DE INGENIERÍA ELECTRÓNICA E INFORMÁTICA SÍLABO

UNIVERSIDAD NACIONAL FEDERICO VILLARREAL FACULTAD DE INGENIERÍA ELECTRÓNICA E INFORMÁTICA SÍLABO SÍLABO ASIGNATURA: MICROELECTRÓNICA CÓDIGO: 8F0108 1. DATOS GENERALES 1.1. DEPARTAMENTO ACADÉMICO : Ing. Electrónica e Informática 1.2. ESCUELA PROFESIONAL : Ingeniería de Mecatrónica 1.3. CICLO DE ESTUDIOS

Más detalles

HERRAMIENTAS PARA EL APRENDIZAJE VIRTUAL DE QUÍMICA SIMETRÍA EN QUIMICA Y COMPUESTOS DE COORDINACIÓN

HERRAMIENTAS PARA EL APRENDIZAJE VIRTUAL DE QUÍMICA SIMETRÍA EN QUIMICA Y COMPUESTOS DE COORDINACIÓN UNIVERSIDAD NACIONAL DE COLOMBIA HERRAMIENTAS PARA EL APRENDIZAJE VIRTUAL DE QUÍMICA SIMETRÍA EN QUIMICA Y COMPUESTOS DE COORDINACIÓN Arnulfo Poveda P. Rodolfo Hernández C. Programa Universidad Virtual,

Más detalles