Fundamentos de Computadores. Subsistemas Combinacionales

Tamaño: px
Comenzar la demostración a partir de la página:

Download "Fundamentos de Computadores. Subsistemas Combinacionales"

Transcripción

1 Fundamentos de Computadores Subsistemas Combinacionales

2 Objetivos Conceptuales: Escalabilidad de los diseños Diseño modular Diseños alternativos Subsistemas de propósito específico Subsistemas de propósito general y dispositivos lógicos programables

3 Objetivos Procedimentales: Interpretación de hojas de características de subsistemas combinacionales y dispositivos lógicos programables Diseño de subsistemas combinacionales: decodificadores, codificadores, multiplexores, etc. Diseño y análisis de circuitos con subsistemas combinacionales: decodificadores, comparadores, multiplexores, etc. Diseño con dispositivos lógicos programables

4 Objetivos Actitudinales: Importancia del diseño modular y reutilización de diseños. Alternativas y evaluación de costes

5 Bibliografía Básica: [NELS96] Capítulos 4 y 5 [GARC9] Capítulo 7 [WAKE99] Capítulos 5 y 6 [ALMO94] Capítulos, y Complementaria [FLOYD] Capítulo 6 [HAY96] Capítulo 4 [MANO98] Capítulos y 6 [MAND] Dispositivos programables. HDL.

6 Contenidos Generalidades sobre subsistemas combinacionales MSI Subsistemas de propósito específico Decodificadores Codificadores Convertidores de código Demultiplexores Comparadores de magnitud Subsistemas de propósito general Multiplexores Dispositivos lógicos programables

7 CIRCUITOS INTEGRADOS MSI/LSI Estas líneas de entrada y salida pueden clasificarse en: Líneas de datos Líneas de control Una de las líneas de control más usadas es el Enable (Habilitador). Enable E n Subsistema Combinacional m n Subsistema Combinacional m Datos entrada Datos salida

8 CIRCUITOS INTEGRADOS MSI/LSI La habilitación de un subsistema por la línea de Enable, puede obtenerse cuando dicha línea de control tenga un nivel alto o cuando tenga un nivel bajo. En el primer caso, se dice que el Enable es activo en alta, mientras que para el segundo caso, el Enable es activo en baja. Enable Activo en Bajo E Enable Activo en Alto E n Subsistema Combinacional m n Subsistema Combinacional m Datos entrada Datos salida Datos entrada Datos salida

9 CIRCUITOS INTEGRADOS MSI/LSI Podemos encontrar subsistemas que tengan múltiples entradas de habilitación (enables). E E E n Subsistema Combinacional m Datos entrada Datos salida

10 SUBSISTEMAS COMBINACIONES DE PROPÓSITO ESPECÍFICO Decodificadores El decodificador es un circuito integrado de n entradas de dato y m salidas de datos, donde m< n. Cuando se cumple la igualdad, se dice que el decodificador es completo. Se especifican haciendo de la siguiente manera: DEC n:m o DEC de n a m. Se caracterizan porque sólo se activa una salida por cada combinación de entrada A A Entradas Salidas A A O O O O O = A A O = A A O = A A O = A A

11 SUBSISTEMAS COMBINACIONES DE PROPÓSITO ESPECÍFICO Decodificadores En el caso de que las salidas del decodificador de a 4 fueran activas en bajo. A A Entradas Salidas A A O O O O O = A +A O = A +A O = A +A O = A +A

12 SUBSISTEMAS COMBINACIONES DE PROPÓSITO ESPECÍFICO Decodificadores Señales de habilitación en los decodificadores A A E Entradas Salidas E A A O O O O X X O = A A E O = A A E O = A A E O = A A E E E E E E E E E A A A A A A

13 SUBSISTEMAS COMBINACIONES DE PROPÓSITO ESPECÍFICO Decodificadores como generadores de funciones Ejemplo: Implementar con un decodificador las siguientes funciones de conmutación F = Σ(,,6) F = Π(,,4,6) Solución.- El decodificador tiene las salidas activas en alto. x y z > F > F

14 SUBSISTEMAS COMBINACIONES DE PROPÓSITO ESPECÍFICO Decodificadores como generadores de funciones Ejemplo: Implementar con un decodificador las siguientes funciones de conmutación F = Σ(,,6) F = Π(,,4,6) Solución.- El decodificador tiene las salidas activas en bajo. x y z F F

15 SUBSISTEMAS COMBINACIONES DE PROPÓSITO ESPECÍFICO Asociación de Decodificadores E E E A A A E

16 SUBSISTEMAS COMBINACIONES DE PROPÓSITO ESPECÍFICO Decodificador Comercial

17 SUBSISTEMAS COMBINACIONES DE PROPÓSITO ESPECÍFICO Codificadores Codificador binario I I I I O O Entradas Salida s I I I I O O Binario I > O I I I > O

18 SUBSISTEMAS COMBINACIONES DE PROPÓSITO ESPECÍFICO Codificadores I I I I Gray O O Entradas Salidas I I I I O O O = I + I O = I + I

19 SUBSISTEMAS COMBINACIONES DE PROPÓSITO ESPECÍFICO Codificadores de prioridad I I I I Prioridad E O O Entradas Salidas I I I I E O O x x X x x x

20 SUBSISTEMAS COMBINACIONES DE PROPÓSITO ESPECÍFICO Codificador de prioridad comercial

21 SUBSISTEMAS COMBINACIONES DE PROPÓSITO ESPECÍFICO Convertidor de código BINARIO/GRAY Convertidor de codigo GRAY/BINARIO BCD/GRAY I BCD/7-SEGMENTOS A I A I I O O Convertidor de codigo O Decodificador Codificador Gray A > O A

22 SUBSISTEMAS COMBINACIONES DE PROPÓSITO ESPECÍFICO Convertidor de código Convertidor BCD/7 segmentos a a b b b b Convertidor BCD 7-SEGMENTOS a b c d e f g b c d e f g f e g d b c b b b b a b c d e f g

23 SUBSISTEMAS COMBINACIONES DE PROPÓSITO ESPECÍFICO Comparadores de magnitud a a a a b b b b G E L A B A>B A=B A<B G E L

24 SUBSISTEMAS COMBINACIONES DE PROPÓSITO ESPECÍFICO Asociación de comparadores b b b b 9 8 a a a a 9 8 A B A>B A<B A=B G E L G E L b b b b a a a a A B A>B A<B A=B G E L G E L b b b b a a a a A B A>B A<B A=B G E L G E L

25 SUBSISTEMAS COMBINACIONES DE PROPÓSITO ESPECÍFICO Demultiplexor Es un circuito MSI que disponde de n lineas de control y n + líneas de datos. n líneas de datos son de salida (también llamadas canales) frente a una única línea de entrada. Se pueden designar mediante DEMUX :m, donde m es el número de canales. E A A O O O O Entradas Salidas E A A O O O O X X A A O O O O

26 SUBSISTEMAS COMBINACIONES DE PROPÓSITO GENERAL Multiplexores d d d d A A z A A Z d d d d Z= d A A + d A A + d A A + d A A d d d d d d d d > A A A A

27 SUBSISTEMAS COMBINACIONES DE PROPÓSITO GENERAL Multiplexores Los multiplexores pueden tener señales de habilitación Strobe d d d d z A A

28 SUBSISTEMAS COMBINACIONES DE PROPÓSITO GENERAL Multiplexores como generadores de funciones El teorema de expansión de Shannon estudiado en el tema indica que cualquier función de conmutación completa de n variables puede ser expresada como F(x,..,xn)= f(i)*mi(x,..,xn) Z= di*mi(x,..,xn) Ejemplo : Usando un multiplexor de 8 canales, implementar la función de conmutación f= (,4,5,7) f x y z

29 SUBSISTEMAS COMBINACIONES DE PROPÓSITO GENERAL Multiplexores como generadores de funciones Ejemplo : Diseñar la función de conmutación f= (,4,5,7) usando multiplexores de 4 canales. f(,,z) f(,,z) f(,,z) f(,,z) f z xy x y f (,,z)=z' f (,,z)=z f (,,z)= f (,,z)= z' z f x y

30 SUBSISTEMAS COMBINACIONES DE PROPÓSITO GENERAL Multiplexores como generadores de funciones Ejemplo. Diseñar la función de conmutación f= (,4,5,7) usando multiplexores de canales. xy z f (,y,z)=y 'z' f (,y,z)=y '+z' F(x,y,z)= d x + d x f(,y,z) f(,y,z) f x F(,y,z)= d y + d y f(,,z) f(,,z) f(,y,z) y f(,y,z) f x

31 SUBSISTEMAS COMBINACIONES DE PROPÓSITO GENERAL Multiplexores como generadores de funciones Otra solución: F(x,y,z)= d z + d z f(x,y,) f(x,y,) f z xy y' z f (x,y,)=y ' f (x,y,)=x x f z

32 SUBSISTEMAS COMBINACIONES DE PROPÓSITO GENERAL Asociación de multiplexores Z(AAA)= d A A A + d A A A + d A AA + d A AA + d4aa A + d5 AA A + d6 AAA + d7 AAA Z(,A,A) Z(,A,A) Z Z(,,A) Z(,,A) Z(,,A) A Z(,A,A) Z(,A,A) Z A Z(,,A) A A

33 SUBSISTEMAS COMBINACIONES DE PROPÓSITO GENERAL Asociación de multiplexores d d A d d d4 d5 A A A Z A A d6 d7 A A A A

34 SUBSISTEMAS COMBINACIONES DE PROPÓSITO GENERAL Dispositivos lógicos programables Plano AND fijo plano OR programable: ROM Plano AND programable y OR fijo:pal Planos AND y OR programables: PLA

35 SUBSISTEMAS COMBINACIONES DE PROPÓSITO GENERAL Dispositivos lógicos programables ROM Capacidad(bits) = n x m A A A ROM 8X4 A A A D D D D

36 SUBSISTEMAS COMBINACIONES DE PROPÓSITO GENERAL Dispositivos lógicos programables ROM Una ROM de n x m, está formada por un decodificador de m líneas de entrada, n x m fusibles o interconexiones y m puertas OR. La siguiente figura esquematiza una ROM de 4x. A A D D

37 SUBSISTEMAS COMBINACIONES DE PROPÓSITO GENERAL Dispositivos lógicos programables ROM ROM 4X A A A A D D D D A A

38 SUBSISTEMAS COMBINACIONES DE PROPÓSITO GENERAL Dispositivos lógicos programables ROM Representación simplificada A A x x x x D D

39 SUBSISTEMAS COMBINACIONES DE PROPÓSITO GENERAL Dispositivos lógicos programables ROM In Out X Out = x HI In > D > D CS

40 SUBSISTEMAS COMBINACIONES DE PROPÓSITO GENERAL Dispositivos lógicos programables La ROM como generador de funciones Ejemplo : Implementar las funciones f= (,,5,7) y g= (,,4,5) haciendo uso de una ROM. x y z ROM 8X f g A A A D D

41 SUBSISTEMAS COMBINACIONES DE PROPÓSITO GENERAL Dispositivos lógicos programables Asociación de ROM Ejemplo : Se dispone de ROM de X y se desea construir una ROM de x4. CS ROM 8X4 A CS CS A A ROM 8X ROM 8X D D D D

42 SUBSISTEMAS COMBINACIONES DE PROPÓSITO GENERAL Dispositivos lógicos programables Asociación de ROM Ejemplo Se dispone de ROMs de 8x, y se desea construir una ROM de 6x. ROM 6X A A CS CS A A ROM 8X ROM 8X D D

43 SUBSISTEMAS COMBINACIONES DE PROPÓSITO GENERAL Dispositivos lógicos programables PAL Plano AND programable y plano OR fijo > O 9 I > O I I 4 > O 5

44 SUBSISTEMAS COMBINACIONES DE PROPÓSITO GENERAL Dispositivos lógicos programables PAL Detalle de la generación de un término producto

45 SUBSISTEMAS COMBINACIONES DE PROPÓSITO GENERAL Dispositivos lógicos programables PAL Representación simplificada del término producto 7 x x' y y' z z' x x

46 SUBSISTEMAS COMBINACIONES DE PROPÓSITO GENERAL Dispositivos lógicos programables PAL > O I > O I 9 > O I

47 SUBSISTEMAS COMBINACIONES DE PROPÓSITO GENERAL Dispositivos lógicos programables PAL Ejemplo: Usando la primera estructura PAL, implementar las funciones de conmutación siguientes f= (,,4,7), g= (,,,6,7),h= (6,7). H(x,y,z) = xyz + xyz z xy x x x x x > f f xy z x y 9 x x x x x x x x > g g x x Las expresiones para f y g son: z 4 x x x x x x > h F(x,y,z) =x z + xyz + xy z G(x,y,z)= x y + x yz + xy 5 x x

48 SUBSISTEMAS COMBINACIONES DE PROPÓSITO GENERAL Dispositivos lógicos programables PLA Este dispositivo tiene tanto el plano AND como el OR totalmente programables. La siguiente figura muestra la estructura de una PLA de entradas y salidas. > > >

49 SUBSISTEMAS COMBINACIONES DE PROPÓSITO GENERAL Dispositivos lógicos programables PLA Ejemplo. Implementar las siguientes funciones en la PLA anterior F= x + y G= x y + xz H =xz +x +y X X X X X X X X X X X X X > > > x y z F G H

Tema 6: Circuitos Digitales BásicosB. Escuela Politécnica Superior Ingeniería Informática Universidad Autónoma de Madrid

Tema 6: Circuitos Digitales BásicosB. Escuela Politécnica Superior Ingeniería Informática Universidad Autónoma de Madrid Tema 6: Circuitos Digitales BásicosB Ingeniería Informática Universidad utónoma de Madrid O B J E T I V O S Circuitos digitales básicosb Comprender las funciones lógicas elementales Habilidad para diseñar

Más detalles

Operación de circuitos lógicos combinatorios.

Operación de circuitos lógicos combinatorios. Operación de circuitos lógicos combinatorios. 1.1 Analiza circuitos lógicos combinatorios, empleando sistemas y códigos numéricos. A. Identificación de las características de la electrónica digital. Orígenes

Más detalles

Sistemas Combinacionales

Sistemas Combinacionales Sistemas Combinacionales Tipos de Sistemas Digitales Puertas Lógicas Bloques Combinacionales Multiplexores Decodificadores/demultiplexores Decodificadores BCD a 7 segmentos Codificadores Comparadores Sumadores

Más detalles

TEMA 7 ELECTRÓNICA DIGITAL: LÓGICA COMBINACIONAL

TEMA 7 ELECTRÓNICA DIGITAL: LÓGICA COMBINACIONAL TEMA 7 ELECTRÓNICA DIGITAL: LÓGICA COMBINACIONAL 11 1) Cuántas funciones de conmutación diferentes se pueden definir con 3 variables binarias? a) 8. b) 9. c) depende del problema en concreto. d) 256. 2)

Más detalles

Bloques Combinacionales

Bloques Combinacionales Bloques Combinacionales 1. Comparadores 2. Sumadores y Semisumadores 3. Multiplexores Demultiplexores 4. Codificadores Decodificadores 5. Convertidores de código 6. Generadores /comprobadores de paridad

Más detalles

Tema 3. 2 Sistemas Combinacionales

Tema 3. 2 Sistemas Combinacionales Tema 3. 2 Sistemas Combinacionales Índice Circuitos combinacionales: concepto, análisis y síntesis. Métodos de simplificación de funciones lógicas. Estructuras combinacionales básicas Multiplexores Demultiplexores

Más detalles

Universidad Nacional de Quilmes

Universidad Nacional de Quilmes Universidad Nacional de Quilmes ASIGNATURA : TECNICAS DIGITALES CURSO :... CICLO LECTIVO : 2010 T. P. Nro. : II NOMBRE DEL T. P. : MBINACIONAL II: Decodificadores, Multiplexor, DeMultiplexor, Comparador,

Más detalles

Fundamentos de Computadores. Tema 5. Circuitos Aritméticos

Fundamentos de Computadores. Tema 5. Circuitos Aritméticos Fundamentos de Computadores Tema 5 Circuitos Aritméticos OBJETIVOS Conceptuales: Suma y resta binaria Implementaciones hardware/software Circuito sumador y semi-sumador básico Sumadores/restadores de n

Más detalles

Unidad 3: Circuitos digitales.

Unidad 3: Circuitos digitales. A-1 Appendix A - Digital Logic Unidad 3: Circuitos digitales. Diapositivas traducidas del libro Principles of Computer Architecture Miles Murdocca and Vincent Heuring Appendix A: Digital Logic A-2 Appendix

Más detalles

Tema 5. SISTEMAS COMBINACIONALES. Tema 5. Sistemas combinacionales por Angel Redondo I.E.S Isaac Peral Torrejon de Ardoz 1

Tema 5. SISTEMAS COMBINACIONALES. Tema 5. Sistemas combinacionales por Angel Redondo I.E.S Isaac Peral Torrejon de Ardoz 1 Tema 5. SISTEMAS COMBINACIONALES Tema 5. Sistemas combinacionales por Angel Redondo I.E.S Isaac Peral Torrejon de Ardoz SISTEMAS COMBINACIONALES Sistemas combinacionales. Codificadores Decodificadores

Más detalles

HOJA DE PROBLEMAS 6: MÓDULOS COMBINACIONALES BÁSICOS

HOJA DE PROBLEMAS 6: MÓDULOS COMBINACIONALES BÁSICOS f Universidad Rey Juan Carlos Grado en Ingeniería Informática Fundamentos de Computadores HOJA DE PROBLEMAS 6: MÓDULOS COMBINACIONALES BÁSICOS. Dado el módulo combinacional de la figura se pide dibujar

Más detalles

EIE SISTEMAS DIGITALES Tema 6: Funciones de la lógica combinacional. Nombre del curso: Sistemas Digitales Nombre del docente: Héctor Vargas

EIE SISTEMAS DIGITALES Tema 6: Funciones de la lógica combinacional. Nombre del curso: Sistemas Digitales Nombre del docente: Héctor Vargas EIE 446 - ITEM DIGITLE Tema 6: Funciones de la lógica combinacional Nombre del curso: istemas Digitales Nombre del docente: Héctor Vargas OBJETIVO DE L UNIDD Distinguir entre semi-sumadores y sumadores

Más detalles

Ing. Yesid E. Santafe Ramon CIRCUITOS LÓGICOS COMBINATORIOS

Ing. Yesid E. Santafe Ramon CIRCUITOS LÓGICOS COMBINATORIOS Ing. Yesid E. Santafe Ramon CIRCUITOS LÓGICOS COMBINATORIOS La evolución de la electrónica digital ha llevado a la comercialización de circuitos integrados de media escala de integración (MSI) que representan

Más detalles

Universidad Central Del Este U C E Facultad de Ciencias de las Ingenierías y Recursos Naturales Escuela de Ingeniería Electromecánica

Universidad Central Del Este U C E Facultad de Ciencias de las Ingenierías y Recursos Naturales Escuela de Ingeniería Electromecánica Universidad Central Del Este U C E Facultad de Ciencias de las Ingenierías y Recursos Naturales Escuela de Ingeniería Electromecánica Programa de la asignatura: IEM-920 ELECTRONICA DIGITAL Total de Créditos:

Más detalles

Circuitos combinacionales. Funciones integradas

Circuitos combinacionales. Funciones integradas Circuitos combinacionales. Funciones integradas Salvador Marcos González salvador.marcos@uah.es Funciones integradas Introducción La introducción en el diseño de sistemas digitales de circuitos MSI (media

Más detalles

INDICE. XIII Introducción. XV 1. Introducción a la técnica digital 1.1. Introducción

INDICE. XIII Introducción. XV 1. Introducción a la técnica digital 1.1. Introducción INDICE Prologo XIII Introducción XV 1. Introducción a la técnica digital 1.1. Introducción 1 1.2. Señales analógicas y digitales 1.2.1. Señales analógicas 1.2.2. Señales digitales 2 1.3. Procesos digitales

Más detalles

Sistemas Digitales I Taller No 2: Diseño de Circuitos combinacionales usando VHDL

Sistemas Digitales I Taller No 2: Diseño de Circuitos combinacionales usando VHDL UNIVERSIDAD INDUSTRIAL DE SANTANDER Escuela de Ingenierías Eléctrica, Electrónica y Telecomunicaciones Sistemas Digitales I Taller No 2: Diseño de Circuitos combinacionales usando VHDL Profesor: Carlos

Más detalles

Temario TEMARIO. Sist. Electrónicos Digitales 1

Temario TEMARIO. Sist. Electrónicos Digitales 1 TEMARIO 1 TEMA 1. Introducción a los Sistemas Digitales. 1.1. Concepto de Sistema. Estructura y Comportamiento Señal analógica y señal digita Señal binarial 1.2. Sistemas de numeración. Binario Octal Hexadecimal

Más detalles

DECODIFICADORES. Para cualquier código dado en las entradas solo se activa una de las N posibles salidas. 2 N

DECODIFICADORES. Para cualquier código dado en las entradas solo se activa una de las N posibles salidas. 2 N DECODIFICADORES Tienen como función detectar la presencia de una determinada combinación de bits en sus entradas y señalar la presencia de este código mediante un cierto nivel de salida. Un decodificador

Más detalles

INDICE Capitulo 1. Sistemas y Códigos de Numeración Capitulo 2. Álgebra de Boole Capitulo 3. Sistema Combinacionales

INDICE Capitulo 1. Sistemas y Códigos de Numeración Capitulo 2. Álgebra de Boole Capitulo 3. Sistema Combinacionales INDICE Prólogo XIII Introducción a la Secta Edición XV Introducción a la Séptima Edición XVII Capitulo 1. Sistemas y Códigos de Numeración 1 1.1. Generalidades 1 1.2. Representación de los números. Sistemas

Más detalles

TEMA 1 INTRODUCCIÓN A LOS SISTEMAS DIGITALES

TEMA 1 INTRODUCCIÓN A LOS SISTEMAS DIGITALES TEMA 1 INTRODUCCIÓN A LOS SISTEMAS DIGITALES Exponer los conceptos básicos de los fundamentos de los Sistemas Digitales. Asimilar las diferencias básicas entre sistemas digitales y sistemas analógicos.

Más detalles

Circuitos Combinacionales. Fundamentos de Computadores Escuela Politécnica Superior. U.A.M

Circuitos Combinacionales. Fundamentos de Computadores Escuela Politécnica Superior. U.A.M Circuitos Combinacionales Fundamentos de Computadores Escuela Politécnica uperior. U..M Índice de la Unidad U. Circuitos combinacionales U.. mplementación de la lógica combinacional. Funciones lógicas.

Más detalles

CURSO: ELECTRÓNICA DIGITAL SISTEMAS COMBINATORIOS - TEORÍA PROFESOR: ING. JORGE ANTONIO POLANÍA

CURSO: ELECTRÓNICA DIGITAL SISTEMAS COMBINATORIOS - TEORÍA PROFESOR: ING. JORGE ANTONIO POLANÍA CURSO: ELECTRÓNICA DIGITAL SISTEMAS COMBINATORIOS - TEORÍA PROFESOR: ING. JORGE ANTONIO POLANÍA En esta unidad usted aprenderá a utilizar los diferentes circuitos integrados que se han fabricado para resolver

Más detalles

INDICE Prefacio 1 Sistemas numéricos y códigos 2 Circuitos digitales

INDICE Prefacio 1 Sistemas numéricos y códigos 2 Circuitos digitales INDICE Prefacio xix 1 Sistemas numéricos y códigos 1.1 Sistemas numéricos posicionales 2 1.2 Número octales y hexadecimales 3 1.3 Conversiones entre sistemas numéricos posicionales 5 1.4 Suma y resta de

Más detalles

Electrónica II. Carrera. Electromecánica EMM UBICACIÓN DE LA ASIGNATURA a) Relación con otras asignaturas del plan de estudios.

Electrónica II. Carrera. Electromecánica EMM UBICACIÓN DE LA ASIGNATURA a) Relación con otras asignaturas del plan de estudios. 1. DATOS DE LA ASIGNATURA Nombre de la asignatura Carrera Clave de la asignatura Horas teoría-horas práctica-créditos Electrónica II Electromecánica EMM-0516 3-2-8 2. HISTORIA DEL PROGRAMA Lugar y fecha

Más detalles

ESTRUCTURA Y TECNOLOGIA DE COMPUTADORES II Curso PROBLEMAS TEMA 4: Unidad Aritmético Lógica

ESTRUCTURA Y TECNOLOGIA DE COMPUTADORES II Curso PROBLEMAS TEMA 4: Unidad Aritmético Lógica Problemas propuestos en examen PROBLEMAS TEMA 4: Unidad Aritmético Lógica 4.1 Se desea realizar una Unidad Aritmético Lógica que realice dos operaciones, suma y comparación de dos números X (x 2 ) e Y

Más detalles

TEMA 5.3 SISTEMAS DIGITALES

TEMA 5.3 SISTEMAS DIGITALES TEMA 5.3 SISTEMAS DIGITALES TEMA 5 SISTEMAS DIGITALES FUNDAMENTOS DE ELECTRÓNICA 08 de enero de 2015 TEMA 5.3 SISTEMAS DIGITALES Introducción Sistemas combinacionales Sistemas secuenciales TEMA 5.3 SISTEMAS

Más detalles

Subsistemas aritméticos y lógicos. Tema 8

Subsistemas aritméticos y lógicos. Tema 8 Subsistemas aritméticos y lógicos Tema 8 Qué sabrás al final del capítulo? Diseño de Sumadores Binarios Semisumadores Sumador completo Sumador con acarreo serie Sumador con acarreo anticipado Sumador /

Más detalles

Practica Nº4 Multiplexores

Practica Nº4 Multiplexores Practica Nº4 Multiplexores OBJETIVO: El estudiante al terminar esta práctica estará en capacidad de poder analizar y diseñar circuitos combinacionales Multiplexores y circuitos lógicos aritméticos. PRELABORATORIO:

Más detalles

circuitos digitales números binario.

circuitos digitales números binario. CIRCUITOS DIGITALES Vamos a volver a los circuitos digitales. Recordemos que son circuitos electrónicos que trabajan con números, y que con la tecnología con la que están realizados, estos números están

Más detalles

UNIDAD 4. Álgebra Booleana

UNIDAD 4. Álgebra Booleana UNIDAD 4 Álgebra Booleana ÁLGEBRA BOOLEANA El Álgebra Booleana se define como una retícula: Complementada: existe un elemento mínimo 0 y un elemento máximo I de tal forma que si a esta en la retícula,

Más detalles

Decodificadores/Demultiplexores. Grupo 9 Javier de Gregorio Menezo Laro de la Fuente Lastra Raúl Fernández Díaz

Decodificadores/Demultiplexores. Grupo 9 Javier de Gregorio Menezo Laro de la Fuente Lastra Raúl Fernández Díaz Decodificadores/Demultiplexores Grupo 9 Javier de Gregorio Menezo Laro de la Fuente Lastra Raúl Fernández Díaz Decodificadores Un decodificador (DEC) es un circuito combinacional que convierte un código

Más detalles

Conocer, diseñar y aplicar los circuitos digitales para el control de los diferentes sistemas mecatrónicos.

Conocer, diseñar y aplicar los circuitos digitales para el control de los diferentes sistemas mecatrónicos. Nombre de la asignatura: Electrónica Digital Créditos: 2-4-6 Aportación al perfil Conocer y analizar la diferencia entre circuitos analógicos y digitales y la relación existente entre ellos. Analizar sistemas

Más detalles

DISEÑO CURRICULAR ELECTRÓNICA DIGITAL

DISEÑO CURRICULAR ELECTRÓNICA DIGITAL DISEÑO CURRICULAR ELECTRÓNICA DIGITAL FACULTAD (ES) CARRERA (S) Ingeniería Computación y Sistemas. CÓDIGO HORAS TEÓRICAS HORAS PRÁCTICAS UNIDADES DE CRÉDITO SEMESTRE 116243 02 02 03 VI PRE-REQUISITO ELABORADO

Más detalles

PROBLEMAS TECNOLOGÍA INDUSTRIAL II. CONTROL DIGITAL

PROBLEMAS TECNOLOGÍA INDUSTRIAL II. CONTROL DIGITAL PROBLEMAS TECNOLOGÍA INDUSTRIAL II. CONTROL DIGITAL 1. 2. 3. 4. 5. 6. a) Convierta el número (5B3) 16 al sistema decimal b) Convierta el número (3EA) 16 al sistema binario c) Convierta el número (235)

Más detalles

Montaje y evaluación de sistemas digitales combinacionales.

Montaje y evaluación de sistemas digitales combinacionales. PRÁCTICA 3 Montaje y evaluación de sistemas digitales combinacionales. 1. Objetivos El objetivo de la siguiente práctica es familiarizar al alumno con el manejo de sistemas combinacionales, además de:

Más detalles

Sistemas Electrónicos Digitales Curso de adaptación al Grado

Sistemas Electrónicos Digitales Curso de adaptación al Grado Práctica Práctica 2 Sistemas Electrónicos Digitales Curso de adaptación al Grado Sistemas combinacionales con VHDL Universidad de Alicante Ángel Grediaga 2 Índice INTRODUCCIÓN... 3 2 CIRCUITOS COMBINACIONALES...

Más detalles

Electrónica Digital. Fco. Javier Expósito, Manuel Arbelo, Pedro A. Hernández Dpto. de Física Fundamental y Experimental, Electrónica y Sistemas

Electrónica Digital. Fco. Javier Expósito, Manuel Arbelo, Pedro A. Hernández Dpto. de Física Fundamental y Experimental, Electrónica y Sistemas Electrónica Digital Fco. Javier Expósito, Manuel Arbelo, Pedro A. Hernández 2001 Dpto. de Física Fundamental y Experimental, Electrónica y Sistemas UNIVERSIDAD DE LA LAGUNA ii ÍNDICE Lección 0. Introducción...1

Más detalles

Universidad del Valle de México. Ingeniería en sistemas computacionales. Materia: Arquitectura de Computadoras

Universidad del Valle de México. Ingeniería en sistemas computacionales. Materia: Arquitectura de Computadoras Universidad del Valle de México San Rafael Ingeniería en sistemas computacionales Demultiplexor 74hc154 Materia: Arquitectura de Computadoras Alumnos: Carlos Andrés Gómez Escobar Marco Antonio Ramos Sánchez

Más detalles

INDICE Capítulo 1. Introducción Capítulo 2. Circuitos lógicos básicos Capítulo 3. Sistemas numéricos Capítulo 4. Codificación

INDICE Capítulo 1. Introducción Capítulo 2. Circuitos lógicos básicos Capítulo 3. Sistemas numéricos Capítulo 4. Codificación INDICE Capítulo 1. Introducción 1.1. Cantidades analógicas y digitales 1.2. Sistemas electrónico digitales 16 1.3. Circuitos integrados 17 1.4. Disipación de potencia y velocidad de operación 1.5. Aplicación

Más detalles

GUIA DIDACTICA DE ELECTRONICA N º12 1. IDENTIFICACION ASIGNATURA GRADO PERIODO I.H.S. TECNOLOGIA ONCE CUARTO 6

GUIA DIDACTICA DE ELECTRONICA N º12 1. IDENTIFICACION ASIGNATURA GRADO PERIODO I.H.S. TECNOLOGIA ONCE CUARTO 6 1. IDENTIFICACION ASIGNATURA GRADO PERIODO I.H.S. TECNOLOGIA ONCE CUARTO 6 DOCENTE(S) DEL AREA:NILSON YEZID VERA CHALA COMPETENCIA: USO Y APROPIACION DE LA TECNOLOGIA NIVEL DE COMPETENCIA: INTERPRETATIVA

Más detalles

PROBLEMAS DE ESTRUCTURA Y TECNOLOGIA DE COMPUTADORES I

PROBLEMAS DE ESTRUCTURA Y TECNOLOGIA DE COMPUTADORES I 1) Convertir los siguientes números a sus correspondientes representaciones en las bases 2,, 5 y 16: a) 465 10 b) 24 8 c) 4287 10 2) Pasar los siguientes números binarios a base octal y hexadecimal: a)

Más detalles

CURSO: ELECTRÓNICA DIGITAL UNIDAD 2: SISTEMAS COMBINATORIOS - TEORÍA PROFESOR: JORGE ANTONIO POLANÍA 1. CIRCUITOS ARITMÉTICOS

CURSO: ELECTRÓNICA DIGITAL UNIDAD 2: SISTEMAS COMBINATORIOS - TEORÍA PROFESOR: JORGE ANTONIO POLANÍA 1. CIRCUITOS ARITMÉTICOS CURSO: ELECTRÓNICA DIGITAL UNIDAD 2: SISTEMAS COMBINATORIOS - TEORÍA PROFESOR: JORGE ANTONIO POLANÍA En Electrónica digital se tienen sistemas combinatorios y sistemas secuenciales. Un sistema combinatorio

Más detalles

INDICE. XVII 0 Introducción 0.1. Historia de la computación

INDICE. XVII 0 Introducción 0.1. Historia de la computación INDICE Prefacio XVII 0 Introducción 0.1. Historia de la computación 1 0.1.1. Los inicios: computadoras mecánicas 0.1.2. Primeras computadoras electrónicas 0.1.3. Las primeras cuatro generaciones de computadoras

Más detalles

CIRCUITOS DE SALIDA DE LAS PUERTAS LÓGICAS

CIRCUITOS DE SALIDA DE LAS PUERTAS LÓGICAS CIRCUITOS DE SALIDA DE LAS PUERTAS LÓGICAS MAPA CONCEPTUAL DE LOS CIRCUITOS INTEGRADOS Circuitos Integrados Digitales Monolíticos (CIDM) Según la realización física Según la forma en que se realiza el

Más detalles

PROGRAMA INSTRUCCIONAL CIRCUITOS DIGITALES

PROGRAMA INSTRUCCIONAL CIRCUITOS DIGITALES UNIVERSIDAD FERMÍN TORO VICE RECTORADO ACADÉMICO FACULTAD DE INGENIERÍA ESCUELA DE COMPUTACIÓN PROGRAMA INSTRUCCIONAL CIRCUITOS DIGITALES CÓDIGO ASIGNADO SEMESTRE U. C DENSIDAD HORARIA H.T H.P/H.L H.A

Más detalles

IEE 2712 Sistemas Digitales

IEE 2712 Sistemas Digitales IEE 2712 Sistemas Digitales Clase 6 Objetivos educacionales: 1. Saber aplicar el método de mapas de Karnaugh para 5 o más variables y para situaciones no-importa. 2. Conocer la implementación práctica

Más detalles

ÍNDICE AUTORES...13 PRÓLOGO...19 INTRODUCCIÓN...21 SIMBOLOGÍA Y NOMENCLATURA...25 PROGRAMAS UTILIZADOS...29

ÍNDICE AUTORES...13 PRÓLOGO...19 INTRODUCCIÓN...21 SIMBOLOGÍA Y NOMENCLATURA...25 PROGRAMAS UTILIZADOS...29 ÍNDICE AUTORES...13 PRÓLOGO...19 INTRODUCCIÓN...21 SIMBOLOGÍA Y NOMENCLATURA...25 PROGRAMAS UTILIZADOS...29 CAPÍTULO 1. FUNDAMENTOS GENERALES DE LA ELECTRÓNICA GENERAL...35 1.1 SISTEMAS ANALÓGICOS Y DIGITALES...36

Más detalles

Introducción a los Sistemas Digitales. Tema 1

Introducción a los Sistemas Digitales. Tema 1 Introducción a los Sistemas Digitales Tema 1 Qué sabrás al final del tema? Diferencia entre analógico y digital Cómo se usan niveles de tensión para representar magnitudes digitales Parámetros de una señal

Más detalles

Tema 3.1 Introducción a los circuitos combinacionales. Algebra de Boole

Tema 3.1 Introducción a los circuitos combinacionales. Algebra de Boole Tema 3.1 Introducción a los circuitos combinacionales. Algebra de Boole Índice Algebra de Boole. Definición. Operaciones lógicas: OR, AND, XOR y NOT Puertas lógicas Algebra de Boole Postulados Teoremas

Más detalles

Sistemas Combinacionales y Sistemas Secuenciales

Sistemas Combinacionales y Sistemas Secuenciales y Prof. Rodrigo Araya E. raraya@inf.utfsm.cl Universidad Técnica Federico Santa María Departamento de Informática Valparaíso, 1 er Semestre 2006 y 1 2 3 y Contenido Al hablar de sistemas, nos referimos

Más detalles

38.1. Principios de electrónica digital. 38.1.1. Sistemas digitales y analógicos

38.1. Principios de electrónica digital. 38.1.1. Sistemas digitales y analógicos Tema 8. Principios de electrónica digital. Álgebra de Boole. Puertas lógicas. Funciones básicas combinacionales: decodificadores, codificadores, multiplexores y otras. Simbología, tipología, función y

Más detalles

INDICE Capitulo 1. Álgebra de variables lógicas Capitulo 2. Funciones lógicas

INDICE Capitulo 1. Álgebra de variables lógicas Capitulo 2. Funciones lógicas INDICE Prefacio XV Capitulo 1. Álgebra de variables lógicas 1 1.1. Variables y funciones 1 1.2. Variables lógicas 2 1.3. Valores de una variable lógica 2 1.4. Funciones de una variable lógica 3 1.5. Funciones

Más detalles

Sistemas Digitales. Circuitos Codificadores

Sistemas Digitales. Circuitos Codificadores Sistemas Digitales Circuitos Codificadores Se definen como tal, a circuitos combinacionales que tienen 2 n entradas y n salidas, aunque en algunos casos prácticos, suelen tener menos entradas. A cada una

Más detalles

Tema 3. Operaciones aritméticas y lógicas

Tema 3. Operaciones aritméticas y lógicas Tema 3. Operaciones aritméticas y lógicas Estructura de Computadores I. T. Informática de Gestión / Sistemas Curso 2008-2009 Transparencia: 2 / 28 Índice Operaciones lógicas: OR, AND, XOR y NOT Operaciones

Más detalles

Pontificia Universidad Católica del Ecuador

Pontificia Universidad Católica del Ecuador 1. DATOS INFORMATIVOS MATERIA O MODULO: ELECTROLOGIA Y CIRCUITOS LOGICOS CARRERA: Ingeniería de Sistemas NIVEL: Segundo No. CREDITOS: 6 CREDITOS TEORIA: 4 CREDITOS PRACTICA: 2 PROFESOR: ING. JOSE PUEBLA

Más detalles

Circuitos lógicos combinacionales. Tema 6

Circuitos lógicos combinacionales. Tema 6 Circuitos lógicos combinacionales Tema 6 Qué sabrás al final del capítulo? Implementar funciones con dos niveles de puertas lógicas AND/OR OR/AND NAND NOR Analizar sistemas combinacionales, obteniendo

Más detalles

MÓDULO Nº8 MEMORIAS SEMICONDUCTORAS

MÓDULO Nº8 MEMORIAS SEMICONDUCTORAS MÓDULO Nº MEMORIAS SEMICONDUCTORAS UNIDAD: LÓGICA PROGRAMABLE TEMAS: Concepto de Memoria. Memorias RAM. Memorias ROM. OBJETIVOS: Entender la diferencia entre lógica cableada y lógica programable. Explicar

Más detalles

Tema 9. SISTEMAS COMBINACIONALES PROGRAMABLES SISTEMAS COMBINACIONALES PROGRAMABLES NO UNIVERSALES

Tema 9. SISTEMAS COMBINACIONALES PROGRAMABLES SISTEMAS COMBINACIONALES PROGRAMABLES NO UNIVERSALES Fundamentos de Computadores. Sistemas Combinacionales Programables. T9-1 Tema 9. SISTEMAS COMBINACIONALES PROGRAMABLES INDICE: INTRODUCCIÓN CLASIFICACION DE LOS SCP SISTEMAS COMBINACIONALES PROGRAMABLES

Más detalles

REPÚBLICA BOLIVARIANA DE VENEZUELA UNIVERSIDAD BICENTENARIA DE ARAGUA SECRETARIA DIRECCIÓN DE ADMISIÓN Y CONTROL DE ESTUDIOS

REPÚBLICA BOLIVARIANA DE VENEZUELA UNIVERSIDAD BICENTENARIA DE ARAGUA SECRETARIA DIRECCIÓN DE ADMISIÓN Y CONTROL DE ESTUDIOS REPÚBLICA BOLIVARIANA DE VENEZUELA UNIVERSIDAD BICENTENARIA DE ARAGUA SECRETARIA DIRECCIÓN DE ADMISIÓN Y CONTROL DE ESTUDIOS Carrera: Ingeniería Eléctrica Semestre: IX Unidad Curricular: Diseño Digital

Más detalles

GUIA DE CIRCUITOS LOGICOS COMBINATORIOS

GUIA DE CIRCUITOS LOGICOS COMBINATORIOS GUIA DE CIRCUITOS LOGICOS COMBINATORIOS 1. Defina Sistema Numérico. 2. Escriba la Ecuación General de un Sistema Numérico. 3. Explique Por qué se utilizan distintas numeraciones en la Electrónica Digital?

Más detalles

Funciones Lógicas Y Métodos De Minimización

Funciones Lógicas Y Métodos De Minimización Circuitos Digitales I Tema III Funciones Lógicas Y Métodos De Minimización Luis Tarazona, UNEXPO Barquisimeto EL-3213 Circuitos Digitales I - 2004 75 Funciones lógicas Circuito combinacional: Un circuito

Más detalles

PRÁCTICA 1: SISTEMAS COMBINACIONALES

PRÁCTICA 1: SISTEMAS COMBINACIONALES DEPARTAMENTO DE AUTOMÁTICA UAH GRADO fdsfdsdfsdfsdf EN INGENIERÍA INFORMÁTICA OBJETIVOS Iniciar y familiarizar al alumno con su puesto de trabajo en el laboratorio y con el manejo de la instrumentación

Más detalles

TEMA 4. MÓDULOS COMBINACIONALES.

TEMA 4. MÓDULOS COMBINACIONALES. TECNOLOGÍA DE COMPUTADORES. CURSO 27/8 TEMA 4. MÓDULOS COMBINACIONALES. 4.. Módulos combinacionales básicos MSI. Los circuitos combinacionales realizados con puertas lógicas implementan funciones booleanas,

Más detalles

TEMA 5. SISTEMAS COMBINACIONALES MSI.

TEMA 5. SISTEMAS COMBINACIONALES MSI. Fundamentos de Computadores. Circuitos Combinacionales MSI T5-1 TEMA 5. SISTEMAS COMBINACIONALES MSI. INDICE: INTRODUCCIÓN DECODIFICADORES o REALIZACIÓN DE FUNCIONES CON DECODIFICADORES CONVERTIDORES DE

Más detalles

TEMA 2: Control combinacional. 1.- Introducción. Esquema:

TEMA 2: Control combinacional. 1.- Introducción. Esquema: Esquema: TEMA 2: Control combinacional TEMA 2: Control combinacional...1 1.- Introducción...1 1.1.-Diseño de circuitos combinacionales...2 2.- Circuitos combinacionales avanzados...2 2.1.- Codificadores...2

Más detalles

2. Números naturales: sistema de numeración y operaciones básicas

2. Números naturales: sistema de numeración y operaciones básicas INTRODUCCIÓN A LOS COMPUTADORES 2003-2004 Objetivos Formativos Que el alumno sea capaz de: Comprender el funcionamiento y saber diseñar los circuitos digitales combinacionales y secuenciales que se utilizan

Más detalles

Programa del curso. Diseño de Circuitos Digitales. CI-1210.

Programa del curso. Diseño de Circuitos Digitales. CI-1210. Profesor: M. Sc. Sanders Pacheco Araya. Teléfono: 2511-5156 Oficina. 224 E-mail: spacheco@ice.co.cr Programa del curso. Diseño de Circuitos Digitales. CI-1210. Horario: L J 15:05 a 16:45 horas Aula: 306

Más detalles

Discusión. Modelo de una compuerta. Arquitecturas de Computadores Prof. Mauricio Solar. Temario. ...Introducción

Discusión. Modelo de una compuerta. Arquitecturas de Computadores Prof. Mauricio Solar. Temario. ...Introducción 0-06-200 Temario Arquitecturas de Computadores Prof. Mauricio Solar 5 Componentes igitales Estructurados Introducción 2 Registros 3 Multiplexores 4 Codificadores y ecodificadores 5 Archivos de Registros

Más detalles

ARQUITECTURAS ESPECIALES

ARQUITECTURAS ESPECIALES ARQUITECTURAS ESPECIALES EL - 337 Página Qué es un Multiplexor? EL - 337 Un multiplexor o MUX es un switch digital (interruptor digital) que conecta una de las entradas con su única salida. Desde el punto

Más detalles

Pontificia Universidad Católica del Ecuador

Pontificia Universidad Católica del Ecuador 1. DATOS INFORMATIVOS MATERIA O MODULO: ELECTROLOGIA Y CIRCUITOS LOGICOS CARRERA: Ingeniería de Sistemas NIVEL: Segundo No. CREDITOS: 6 CREDITOS TEORIA: 4 CREDITOS PRACTICA: 2 PROFESOR: ING. JOSE PUEBLA

Más detalles

Sistema electrónico digital (binario) que procesa datos siguiendo unas instrucciones almacenadas en su memoria

Sistema electrónico digital (binario) que procesa datos siguiendo unas instrucciones almacenadas en su memoria 1.2. Jerarquía de niveles de un computador Qué es un computador? Sistema electrónico digital (binario) que procesa datos siguiendo unas instrucciones almacenadas en su memoria Es un sistema tan complejo

Más detalles

Tema 3: Sistemas Combinacionales

Tema 3: Sistemas Combinacionales Ejercicios T3: Sistemas Combinacionales Fundamentos de Tecnología de Computadores Tema 3: Sistemas Combinacionales 1. Analizar el siguiente circuito indicando la expresión algebraica que implementa, la

Más detalles

CONTENIDO Capitulo 2. DE CONMUTACION

CONTENIDO Capitulo 2. DE CONMUTACION CONTENIDO 1.1. Introduccion 1 1.2. Postulados del Algebra de Boole 5 1.3. Algebra de Boole de dos elementos... 7 1.4. Dualidad 8 1.5. Teoremas del Algebra de Boole 8 1.6. La teoria de conjuntos como un

Más detalles

EVALUACIÓN DE ELECTRÓNICA BÁSICA, 50 PREGUNTAS, TIEMPO = 1 HORA

EVALUACIÓN DE ELECTRÓNICA BÁSICA, 50 PREGUNTAS, TIEMPO = 1 HORA EVALUACIÓN DE ELECTRÓNICA BÁSICA, 50 PREGUNTAS, TIEMPO = 1 HORA 1. Es un material semiconductor que se ha sometido al proceso de dopado. a) Intrínseco b) Extrínseco c) Contaminado d) Impurificado 2. Material

Más detalles

http://ingenieros.sitio.net

http://ingenieros.sitio.net SISTEMAS DIGITALES Version Inicial: 13-06-05 Modificando 1-1 CONTENIDO CONTENIDO... 1-2 1 SISTEMAS NUMERICOS... 1-3 UNIDAD II 2 ALGEBRA DE BOOLE... 2-22 UNIDAD III 3 FAMILIAS LOGICAS DE CIRCUITOS INTEGRADOS...

Más detalles

ALGEBRA BOOLEANA. CONMUTATIVO. Se dice que un operador binario º es conmutativo si A º B = B º A para todos los posibles valores de A y B.

ALGEBRA BOOLEANA. CONMUTATIVO. Se dice que un operador binario º es conmutativo si A º B = B º A para todos los posibles valores de A y B. ÁLGEBRA BOOLEANA UNEFA NUCLEO ZULIA El álgebra booleana es un sistema matemático deductivo centrado en los valores cero y uno (falso y verdadero). Un operador binario º definido en éste juego de valores

Más detalles

TEMA 5. SISTEMAS COMBINACIONALES MSI. INTRODUCCIÓN

TEMA 5. SISTEMAS COMBINACIONALES MSI. INTRODUCCIÓN Circuitos Combinacionales MSI 1 TEMA 5. SISTEMAS COMBINACIONALES MSI. INTRODUCCIÓN Los sistemas combinacionales son aquellos en los que las salidas dependen exclusivamente de las entradas, luego para una

Más detalles

INDICE 1. Operación del Computador 2. Sistemas Numéricos 3. Álgebra de Boole y Circuitos Lógicos

INDICE 1. Operación del Computador 2. Sistemas Numéricos 3. Álgebra de Boole y Circuitos Lógicos INDICE Prólogo XI 1. Operación del Computador 1 1.1. Calculadoras y Computadores 2 1.2. Computadores digitales electrónicos 5 1.3. Aplicación de los computadores a la solución de problemas 7 1.4. Aplicaciones

Más detalles

Test de Fundamentos de Electrónica Industrial (4 puntos). 3º GITI. TIEMPO: 40 minutos May 2013

Test de Fundamentos de Electrónica Industrial (4 puntos). 3º GITI. TIEMPO: 40 minutos May 2013 1) Cual de las siguientes expresiones es correcta A) A+B+B =A+B B) A+B+(A.B )=A C) (A.B)+(A.C)+(B.C)=(A.B)+(B.C) D) A.B =A +B 2) La figura adjunta se corresponde con la estructura interna de un circuito:

Más detalles

INGENIERÍA TÉCNICA EN INFORMÁTICA DE SISTEMAS

INGENIERÍA TÉCNICA EN INFORMÁTICA DE SISTEMAS INGENIERÍA TÉCNICA EN INFORMÁTICA DE SISTEMAS Fundamentos de Computadores Relación de Problemas Departamento de Tecnología Electrónica BOLETIN : Representación Binaria Problemas básicos P. Convierta los

Más detalles

13/10/2013. Clase 05: Mapas de Karnaugh. Sistemas Digitales y Arquitectura de Computadoras. Ing. Christian Lezama Cuellar.

13/10/2013. Clase 05: Mapas de Karnaugh. Sistemas Digitales y Arquitectura de Computadoras. Ing. Christian Lezama Cuellar. Clase 05: Mapas de Karnaugh Ing. Christian Lezama Cuellar Semestre 2013-I Sistemas Digitales y Arquitectura de Computadoras 1 MAPAS DE KARNAUGH Método de simplificación gráfico basado en los teoremas booleanos.

Más detalles

SUMADORES Y COMPARADORES

SUMADORES Y COMPARADORES Universidad Nacional de Quilmes Diplomatura en Ciencia y Tecnología Circuito semisumador de un bit. TÉCNICAS DIGITALES Los circuitos sumadores entregan 2 datos: suma (S) y acarreo (A), y, este circuito

Más detalles

4. Prácticas: Circuitos Combinacionales

4. Prácticas: Circuitos Combinacionales 4. Prácticas: Circuitos Combinacionales I. Ejercicios teóricos 1. Diseñar, empleando puertas lógicas, un codificador de ocho a tres líneas con salida en binario natural y prioridad a la entrada de mayor

Más detalles

CODIFICADORES. Cuando solo una de las entradas está activa para cada combinación de salida, se le denomina codificador completo.

CODIFICADORES. Cuando solo una de las entradas está activa para cada combinación de salida, se le denomina codificador completo. Circuitos Combinacionales MSI CODIFICADORES Son los dispositivos MSI que realizan la operación inversa a la realizada por los decodificadores. Generalmente, poseen 2 n entradas y n salidas. Cuando solo

Más detalles

^6+1 2^5+1 2^2+1 2^1+1 2^ ^6+1 2^0-65.

^6+1 2^5+1 2^2+1 2^1+1 2^ ^6+1 2^0-65. ELECTRÓNICA DIGITAL 23-I-2014 PREGUNTAS TEÓRICO PRÁCTICAS: 1. Determinar el valor decimal de los números expresados en Complemento a 2. (0.25 puntos). 10011001 10011000 01100111 1 2^6+1 2^5+1 2^2+1 2^1+1

Más detalles

Circuitos lógicos MSI Combinacionales

Circuitos lógicos MSI Combinacionales Departamento de Electrónica Electrónica Digital Circuitos lógicos MSI Combinacionales Facultad de Ingeniería Bioingeniería Universidad Nacional de Entre Ríos 1 Temario Decodificadores / Conversores de

Más detalles

Bloques combinacionales estándar

Bloques combinacionales estándar Universidad Rey Juan Carlos Bloques combinacionales estándar Norberto Malpica norberto.malpica@urjc.es Ingeniería de Tecnologías Industriales Circuitos combinacionales 1 Contenido 1. Introducción 2. Codificadores

Más detalles

5. Decodificadores. Salida _1= A A A A = m = M ... Electrónica Digital. Tema

5. Decodificadores. Salida _1= A A A A = m = M ... Electrónica Digital. Tema 5. Decodificadores La función de un decodificador es la siguiente: ante una combinación concreta binaria de entrada (correspondiente a una combinación de algún código binario), activar una salida correspondiente

Más detalles

TEMA II: ÁLGEBRA DE CONMUTACIÓN

TEMA II: ÁLGEBRA DE CONMUTACIÓN TEMA II: ÁLGEBRA DE CONMUTACIÓN En este capítulo veremos los métodos matemáticos que se disponen para las operaciones relacionadas con los circuitos digitales, así como las funciones más básicas de la

Más detalles

Temario de Electrónica Digital

Temario de Electrónica Digital Temario de Electrónica Digital TEMA 1. INTRODUCCIÓN A LOS SISTEMAS DIGITALES. Exponer los conceptos básicos de los Fundamentos de los Sistemas Digitales. Asimilar las diferencias básicas entre Sistemas

Más detalles

Carrera: MTF Participantes Representante de las academias de ingeniería Mecatrónica de los Institutos Tecnológicos.

Carrera: MTF Participantes Representante de las academias de ingeniería Mecatrónica de los Institutos Tecnológicos. 1.- DATOS DE LA ASIGNATURA Nombre de la asignatura: Carrera: Clave de la asignatura: Horas teoría-horas práctica-créditos Microcontroladores MTF-0532 2-4-8 2.- HISTORIA DEL PROGRAMA Lugar y fecha de elaboración

Más detalles

UNIDAD II CIRCUITOS LÓGICOS COMBINATORIOS

UNIDAD II CIRCUITOS LÓGICOS COMBINATORIOS UNIDAD II CIRCUITOS LÓGICOS COMBINATORIOS. SUMADORES Y RESTADORES 2. CODIFICADORES Y DECODIFICADORES 3. MULTIPLEXOR Y DEMULTIPLEXOR 4. MEMORIA DE SÓLO LECTURA 2- 2.. SUMADORES Y RESTADORES. SUMADORES Y

Más detalles

41(T0)T.':#0&'4'4#T. Diseñar en VHDL un decodificador BCD a 7 segmentos que cumpla con las siguientes condiciones:

41(T0)T.':#0&'4'4#T. Diseñar en VHDL un decodificador BCD a 7 segmentos que cumpla con las siguientes condiciones: Diseñar en VHDL un decodificador BCD a 7 segmentos que cumpla con las siguientes condiciones: D Salidas activas en bajo para manejar display ánodo común E Entradas (4 bits) activas en bajo (o con lógica

Más detalles

ÁLGEBRA BOOLEANA El álgebra booleana es un sistema matemático deductivo centrado en los valores cero y uno (falso y verdadero). Un operador binario "

ÁLGEBRA BOOLEANA El álgebra booleana es un sistema matemático deductivo centrado en los valores cero y uno (falso y verdadero). Un operador binario ÁLGEBRA BOOLEANA El álgebra booleana es un sistema matemático deductivo centrado en los valores cero y uno (falso y verdadero). Un operador binario " " definido en éste juego de valores acepta un par de

Más detalles

Tipos de sistemas digitales: Sistemas combinacionales: las variables de salida dependen en todo instante de los valores de las variables de entrada.

Tipos de sistemas digitales: Sistemas combinacionales: las variables de salida dependen en todo instante de los valores de las variables de entrada. INTRODUCCIÓN A SISTEMAS DIGITALES Niveles de diseño: Nivel de arquitectura: identifica elementos de mayor nivel (CPU, memoria, periféricos, etc.) Nivel lógico: estructura interna de los componentes definidos

Más detalles

TEMA 11 MEMORIAS. CIRCUITOS LÓGICOS PROGRAMABLES

TEMA 11 MEMORIAS. CIRCUITOS LÓGICOS PROGRAMABLES TEMA 11 MEMORIAS. CIRCUITOS LÓGICOS PROGRAMABLES 1 CLASIFICACIÓN SEGÚN SU TECNOLOGÍA 2 PARAMETROS FUNDAMENTALES DE LAS MEMORIAS Modo de acceso: Aleatorio (RAM, Random Access Memory) Serie Alterabilidad

Más detalles

INDICE 1. Conceptos Introductorias 2. Sistemas Numéricos y Códigos 3. Compuertas Lógicas y Álgebras Booleana 4. Circuitos Lógicos Combinatorios

INDICE 1. Conceptos Introductorias 2. Sistemas Numéricos y Códigos 3. Compuertas Lógicas y Álgebras Booleana 4. Circuitos Lógicos Combinatorios INDICE 1. Conceptos Introductorias 1 1.1. Representaciones numéricas 3 1.2. Sistemas digitales y analógicos 4 1.3. Sistemas numéricos digitales 6 1.4. Representación de cantidades binarias 10 1.5. Circuitos

Más detalles

Autómatas programables

Autómatas programables Autómatas programables Autómatas programables INNOVACIÓN Y CUALIFICACIÓN, S.L. De la edición INNOVA 2004 INNOVACIÓN Y CUALIFICACIÓN, S.L ha puesto el máximo empeño en ofrecer una información completa y

Más detalles