Dispositivos Lógicos Programables

Tamaño: px
Comenzar la demostración a partir de la página:

Download "Dispositivos Lógicos Programables"

Transcripción

1 Electrónica Digital Departamento de Electrónica Dispositivos Lógicos Programables Bioingeniería Facultad de Ingeniería - UNER

2 Circuitos programables / configurables Arquitectura no configurable Microprocesador / Microcontrolador ALU Registros Memorias Ejecución de un programa (firmware) Lenguaje de bajo nivel (assembler) Lenguaje de alto nivel (C) Arquitectura configurable Interconexión (re)configurable de bloques lógicos Procesamiento paralelo Configuración por: Métodos tabulares, ecuaciones lógicas. Lenguajes de alto nivel (HDL Hardware Description Language) Dispositivos programables Dispositivos configurables

3 P Programar consiste en establecer las instrucciones para la CPU PLD Programar consiste en cambiar las conexiones y entradas/salidas de la lógica del dispositivo: se configura Ejecución secuencial Cambia el SW Ejecución en paralelo Cambia el HW

4 Clasificación de los CIs ASIC: Application Specific Integrated Circuit Principales fabricantes de PLDs Xilinx, Lattice, Altera, AMD Philips, Texas Instruments, Cypress, ST Microelectronics

5 Dispositivos configurables PLD Programmable Logic Device (PROM) (PLE) Programmable Read Only Memory Programmable Logic Elements PLA Programmable Logic Array PAL Programmable Array Logic (AMD) GAL Generic Array Logic (Lattice) CPLD Complex PLD FPGA Field-Programmable Gate Arrays (Xilinx)

6 Arquitectura genérica básica de un PLD Sistemas MIMO: Multiple Inputs Multiple Outputs Fundamento: Cualquier función lógica puede ser expresada como una suma de productos (AND-OR) (minitérminos) Arquitectura general de un PLD

7 Ejemplo: PLD con 4 entradas y 3 salidas Referencias X: indica una conexión configurable : indica una conexión fija (de fábrica)

8 Simbología +C Referencias X: indica una conexión configurable : indica una conexión fija (de fábrica)

9 PLD 4 x 3 con 6 términos de producto

10 Tipos de matrices y dispositivo PLD PLA: matriz AND configurable matriz OR configurable PROM (PLE Programmable Logic Element): matriz AND fija matriz OR configurable PAL: matriz AND configurable matriz OR fija

11 PLA - Programmable Logic Array Matriz AND configurable Matriz OR configurable No reprogramables Especificación Número de entradas (n) Número de salidas (m) Número de términos de producto (p) En general: p 2 n Ejemplo: PLA de n x m con p términos de producto p compuertas AND de 2n entradas m compuertas OR de p entradas

12 PLA - Programmable Logic Array Matriz AND programable Matriz OR programable n = 4; p 2 4 ; m = 4

13 Ejemplo

14 Ejemplo O1 = I1. I2 + I1. I2. I3. I4 O2 = I1. I3 + I1. I3. I4 + I2 O3 = I1. I2 + I1. I3 + I1. I2. I4

15 Programación para 1 o 0 constantes AND: entrada no conectada fija un 1 OR: entrada no conectada fija un 0

16 Forma comercial: Signetics 82S entradas 48 compuertas AND 8 salidas (OR)

17 Ejemplo de diseño: Comparador de 2 bits Diseño A 1 A 0 B 1 B 0 A>B A<B A=B A>B = A 1 B 1 / + A 1 A 0 B 0 / + A 0 B 1 /B 0 / A<B = A 1 /B 1 + A 1 /A 0 /B 0 / + A 0 /B 1 B 0 A=B = A 1 /A 0 /B 1 /B 0 / + A 1 /A 0 /B 1 /B 0 + A 0 /B 1 B 0 / + A 1 A 0 B 1 B 0 Se requiere un PAL: 4 entradas 3 salidas 4 términos de producto por cada salida Salida activa por alto

18 A>B = A 1 B 1 / + A 1 A 0 B 0 / + A 0 B 1 /B 0 / A<B = A 1 /B 1 + A 1 /A 0 /B 0 / + A 0 /B 1 B 0 A=B = A 1 /A 0 /B 1 /B 0 / + A 1 /A 0 /B 1 /B 0 + A 0 /B 1 B 0 / + A 1 A 0 B 1 B 0

19 PROM Programmable ROM Matriz AND fija Se generan todos los minitérminos Matriz OR programable Se programan las OR n = 4; p = 2 4 ; m = 4

20 PAL - Programmable Array Logic Matriz AND configurable Matriz OR fija Terminales bi-direccionales de E/S No reprogramables PAL es marca registrada de AMD

21 PAL - Programmable Array Logic Matriz AND programable Matriz OR fija Terminales bidireccionales de entrada y salida n = 4; p 2 4 ; m = 4 SALIDAS

22 Arquitectura de los dispositivos PAL PAL con salida combinacional PAL con salida secuencial Control de arquitectura: PAL combinacional, secuencial o una combinación de ambos. Tipos de salida 1. Salida tri-estado 2. Macroceldas de salida Salida con polaridad configurable Salida registrada (con entradas asíncronas) Salida multiplexada

23 Salida tri-estado (alta impedancia)

24 Macrocelda con salida de polaridad configurable Macrocelda combinacional con salida con polaridad configurable y triestado B A Por defecto Fusible quemado A (fuse) B salida = B = B/ Macrocelda combinacional con salida con polaridad configurable triestado y realimentación

25 Macrocelda con salida registrada (con entradas asíncronas) Salida de los PALs secuenciales Macrocelda registrada con salida con polaridad configurable y triestado

26 Macrocelda con salida multiplexada Permite configurar salidas combinacionales o registradas

27 TIBPAL22VP10-25M (Texas Instruments) Formas comerciales 22 entradas y 10 salidas Macrocelda de salida completamente configurable Permite diseño de circuitos con complejidad equivalente de entre 500 a 800 compuertas Power-up clear function Fusible de seguridad

28 Formas comerciales

29 Formas comerciales

30 Formas comerciales

31 PAL16L8 Formas comerciales 32 entradas (16 variables) 64 AND 8 OR de 7 entradas DIP 20 terminales

32 PAL16R8 Formas comerciales 8 entradas 8 salidas 8 FFD CLK, OE/

33 PLDs reprogramables Fusibles reemplazados por transistores CMOS (celdas) borrables eléctricamente (E 2 CMOS) Control de arquitectura: PLD combinacional, secuencial o una combinación de ambos. GAL Generic Array Logic (Lattice Semiconductor) PALCE (AMD) CPLD Complex PLD FPGA Field-Programmable Gate Arrays

34 GAL - Generic Array Logic GAL es marca registrada de Lattice Semiconductor Son programables y borrables eléctricamente Tecnología E 2 CMOS Forma básica: Matriz AND configurable Matriz OR fija Lógica de salida en macrocelda (OLMC Output Logic Macro Cell)

35 GAL22V10, GAL18V10 and GAL26CV12 Block Diagram

36 Modo combinacional

37 Modo registrado

38 Formas comerciales Formas comerciales GAL16V8C 16 entradas 8 salidas Configuración combinacional (sin FFs en las salidas)

39 GAL16V8R Formas comerciales 3 configuraciones 16 entradas 8 salidas 8 FFD CLK, OE/ Configuración registrada (con FFs en todas las salidas)

40 GAL22V10 Formas comerciales

41 Formas comerciales

42 Nomenclatura

43 CPLD Complex PLD FPGA Field Programmable Gate Array

44 Ejemplo: Arquitectura Spartan 3 de Xilinx DCMs (Control digital de relojes) Matriz de bloques lógicos configurables (CLBs) Tri state path D Q Slew Rate Control Passive Pull-Up, Pull-Down Prog. Output driver Vcc Pad Q D Delay Input Buffer I/O Blocks (IOBs) Cout BRAM MULs Slice X1Y1 Interconexiones programables CLB CLB CLBs (Configurable Logic Blocks ) Switch Matrix Slice X0Y1 Cout Slice X1Y0 Cin CLB CLB Slice X0Y0 Cin

45 Bloques lógicos configurables

46 Bloques de E/S configurables

47 Bloques de E/S configurables

48 Arquitectura de CPLD

49 CPLDs: Bloques de función (FB)

50 Granularidad fina y granularidad gruesa Granularidad fina Mejor uso Conversión directa a ASIC Granularidad gruesa Pocos niveles de lógica Menos retardo de interconexión

51 C P L D Esencialmente, PLDs interconectados Gran número de compuertas: cientos a decenas de miles Macroceldas de salida Algunos proveen lógica más flexible que la suma de productos, incluyendo realimentaciones complejas y funciones lógicas avanzadas como aritmética, por ejemplo. CPLD grandes: incluyen memoria on-chip Menor flexibilidad Menor potencia de prestaciones F P G A Decenas a cientos de miles o varios millones de compuertas Bloques de lógica configurables Bloques de E/S configurables Matriz de interconexión programable Mayor flexibilidad Mayor complejidad de diseño Mayor potencia de prestaciones Presencia de funciones de alto nivel (embedded functions) como ALUs, sumadores, multiplicadores, etc. Memorias Decodificadores

52 Ficha técnica familia Spartan 3 de Xilinx 1 CLB = 4 SLICE; 1 SLICE = 2 LUTS + 2 FFs + Carry logic Equivalent logic Cells (celdas lógica equivalentes) = 1 LUT + 1 FF + lógica de control.

53 Ventajas e inconvenientes de los FPGAs Comparativa de las FPGAs frente a: Lógica discreta ASICs Tiempo de diseño (cambios sin soldar ) Densidad integración (menor peso, tamaño) Mayores prestaciones (consumo, velocidad) Menor coste para circuitos medioscomplejos Mayor coste para circuitos muy simples Herramientas específicas (fabricante) complejidad número de unidades (tirada) Tiempo de diseño (cambios en el laboratorio) Reconfigurable (pruebas o actualizaciones) Menor coste para tiradas cortas y medias Mayor coste para tiradas muy largas Menores prestaciones (consumo, velocidad) Menor densidad integración velocidad / consumo Lógica discreta FPGAs ASICs

54 Programación de PLDs HDL Hardware Description Language PALASM, CUPL ABEL : Advanced Boolean Equation Language (Data I/O Corporation) VHDL: Very High Speed Integrated Circuit Hardware Description Language

55 Programación de FPGAs SRAM based FPGAs Anti-fuse based FPGAs Por la tecnología de la memoria de programación No volátiles Basadas en ROM Reprogramables Basadas en EPROM (Erasable-Programmable ROM) o flash Se borran y se pueden volver a programar (unos ciclos) No reprogramables Basadas en fusibles Sólo se pueden programar una vez. No aptas para laboratorios, pero sí para el espacio Volátiles Basadas en RAM Su programación se pierde al quitar la alimentación. Requieren una memoria externa no volátil para configurarlas al arrancar (antes o durante el reset)

56 Fabricantes Actel (fusibles y flash), Altera, Atmel (con micros), Chip Express, Clear Logic, Cypress, DynaChip, Fast Analog Solutions, Gatefield, HammerCores, Lattice (SRAM y flash), Lucent Technologies, Motorola, Orbit, QuickLogic, QuickTurn, Vantis, Xilinx,... Formas comerciales SRAM based FPGA families Altera FLEX family Atmel AT6000 and AT40K families Lucent Technologies ORCA family Xilinx XC4000 and Virtex families Anti-fuse based FPGA families Actel SX and MX families Quicklogic pasic family

57 FPGAs de Xilinx - Evolución Virtex MHz 24M gates* Complejidad de Dispositivos XC MHz 7.5K gates XC MHz 1K gates XC MHz 250K gates XC MHz 23K gates Virtex 200 MHz 1M gates Spartan 80 MHz 40K gates Virtex-E 240 MHz 4M gates Virtex-II 450 MHz 8M gates Spartan-II 200 MHz 200K gates Virtex-II Pro 450 MHz 8M gates* Spartan MHz 5M gates Virtex MHz 16M gates*

58 VHDL FF_AR_CE: process(clk) begin if (CLK event and CLK = 1 ) then if (RST = 1 ) then Q <= 0 ; elsif (SET = 1 ) then Q <= 1 ; elsif (CE = 1 ) then Q <= D_IN; end if; end if; end process Verilog CLK) if (RST) Q <= 0 b0; else if (SET) Q <= 1 b1; else if (CE) Q <= D_IN; Ejemplos de codificación de un FF

59 VHDL process(sel, data) begin case (sel) is when 000 => out <= data(0); when 001 => out <= data(1); when 010 => out <= data(2); when 011 => out <= data(3); when 100 => out <= data(4); when 101 => out <= data(5); when 110 => out <= data(6); when 111 => out <= data(7); when others => out <= 0 ; end case; end process; Verilog (sel or data) case(sel) 3'b000: muxout = data[0]; 3'b001: muxout = data[1]; 3'b010: muxout = data[2]; 3'b011: muxout = data[3]; 3'b100: muxout = data[4]; 3'b101: muxout = data[5]; 3'b110: muxout = data[6]; 3'b111: muxout = data[7]; default : muxout = 0; endcase Ejemplos de codificación de un MUX

60 Características del diseño con PLDs Simplicidad de diseño Funcionalidades adicionales Gran flexibilidad (distintas configuraciones) Posibilidad de reprogramar Programación in situ (isp - in system programmable) Bajo consumo (modos stand-by; wake up) Fiabilidad Menor costo Reducción del número de CIs Ahorro de espacio Seguridad

61 FIN

62 Ejemplo de diseño #1: Convertidor de BCD a Gray DIAPOSITIVA OCULTA

63 Consideraciones a tener en cuenta en un diseño CPLDDIAPOSITIVA OCULTA La tecnología de programación La capacidad del bloque de función La capacidad de entradas/salidas Formas comerciales Altera: Familias MAX 7000, MAX 9000 Atmel: Familias ATF y ATV Lattice: Familias isplsi y (Vantis) MACH Xilinx: Familia XC9500 Cypress: Familia FLASH370 actualizar

64 FPGA Field Programmable Gate Array DIAPOSITIVA OCULTA Antes opciones de implementación circuitos TTL y memorias ROM PAL/GAL Programmable Array Logic 1980 CPLDs Complex Programmable Logic Device 1984 Primera FPGA (Ross Freeman - Xilinx) X Link X Dispositivo lógico programable (su funcionalidad se fija por el usuario después de la fabricación) Field Programmable Gate Array

65 Cómo cambiar el hardware? DIAPOSITIVA OCULTA Configurar: cambiar las funciones lógicas y las conexiones Estructura genérica FPGA Por ejemplo: Entradas Lógica configurable Salidas A B S Memoria de programación 0/1 0/1 0/1 0/1 Interfaz programación Información de configuración La implementación física de la memoria sirve para clasificar las FPGAs Recordatorio: un multiplexor sirve para implementar cualquier función lógica

66 Elementos que componen la lógica configurable Bloques lógicos (combinac. y secuenc.) Granularidad fina Granularidad gruesa Otros bloques (memorias, P embeb.) DIAPOSITIVA OCULTA Bloques de salida Entrada, salida, bidireccional Compatibilidad estándares Registro o salida directa Bloques lógicos Bloques lógicos Matriz interconexión a) Matriz interconexión Bloques salida Pines de E/S Bloques lógicos b) Bloques lógicos Otros elementos Osciladores de reloj internos DCMs (control digital del reloj) Sistemas de conexión Locales o vecino-vecino (a) Globales o larga distancia (b) De alta velocidad (carry) Dedicadas (reloj, reset)

67 Cada slice contiene: Lógica de generación rápida de acarreo Generadores de funciones (look-up tables) de 16x1 (f. de 4 variables) G4 G3 G2 G1 G Gen. Func. Carry logic control D EC SR Q REV Y YQ Parte superior WE CK WSGEN Lógica común y de memoria distribuida F4 F3 F2 F1 F Gen. Func. Carry logic control SR REV D Q EC X XQ Salida combinacional Salida registrada Parte inferior

68 Información de pedido Xilinx Spartan 3 Tipo de dispositivo Speed grade* XC3S200-4 FT 256 C Num. de System gates (puertas lógicas) 200 K Rango de temperatura C comercial (0ºC a 85ºC) I Industrial (-40 ºC a 100 ºC) Número de pines Tipo de encapsulado Speed grade* Depende de la tecnología de FPGA, para las Spartan 3 Mayor numero, más rápido el chip Ejemplo encapsulado

69 Metodología de diseño con FPGAs Captura del diseño Introducir los datos sobre las características del circuito que se quiere construir Simulación funcional Probar si la IDEA (y/o los primeros diseños) funcionan Diseño físico Simulación con retardos Programación Traducir el diseño a un circuito real Probar si el circuito funcionará a la velocidad requerida, una vez que se saben los retardos reales, y si lo hace igual que la idea Descargar la información de configuración a la FPGA Pruebas prototipo Depuración HW Probar el circuito REAL, en su entorno real de funcionamiento Detectar las causas de problemas y corregirlos

70 Métodos de captura del diseño Captura del diseño Captura de esquemas Lenguaje descr. HW Ecuaciones algebraicas Diagrama de estados a b c 0 1 s If c=0 then s<=a else s<=b s=ac + bc 1/1 A C 0/1 0/1 B 1/1 Traducción Síntesis Síntesis sencilla Síntesis sencilla Lista de Conexiones (netlist) Diseño físico

71 Fases del diseño físico en FPGAs Captura diseño Mapeado (Mapping) Emplazamiento (Placement) Conexionado (Routing) Cálculo de retardos Agrupar o descomponer los símbolos lógicos en los elementos físicos que componen la FPGA (CLBs, IOBs, etc.) Colocar los CLBs resultantes en los CLBs disponibles de la FPGA, atendiendo a criterios de proximidad para reducir el tiempo de propagación de las señales en el interior del circuito Gestionar los recursos de conexionado locales (vecino a vecino), de larga distancia (buses y matrices de conexión) o globales (relojes, resets, etc.) Estimación de tiempos de propagación en función de las puertas usadas, su carga y sus interconexiones, para poder realizar simulaciones precisas Configuración Generar la secuencia de bits que configura el dispositivo adecuadamente Programación

72 Cómo seleccionar un FPGA? Para que se va a usar? Funcionalidad / Prestaciones Consumo tipo de dispositivo (Móvil, estático). Proveedor Familia de FPGA Qué se va a implementar en la FPGA? Tamaño de la FPGA Escoger una más grande de la prevista (mejo si no es la más grande de la serie) Que se va ha conectar a la FPGA? Tipo y numero de IOs Cuantas IOs se prevé que se van a usar. Dispositivos Periféricos La FPGA cubre el estándar de IO que se necesita? Hay restricciones de espacio? Tamaño del producto Encapsulado Precio de la FPGA Entra en el presupuesto? NOTA : Pregunta clave Hay otras soluciones que hagan lo mismo (iguales prestaciones y funcionalidad) a menor precio y consumo?

73 Tendencias de los FPGAs Sistemas en Chip programable Periféricos GBps Periféricos Analógicos (Actel Fusion Silicon) Lógica programable *DSP Digital signal processing Procesado digital de señales up Memoria Bloques DSP* 1 Soft Core : Microprocesador implementado con lógica de FPGA Ejemplo: Altera Nios Processor Xilinx Microblaze (32 bits) y Picoblaze (8 bits libre) 2 Hard Core : Microprocesador fundido en silicio Ejemplo: Altera Excalibur (lo han dejado) Xilinx IBM PPC 450 (Virtex II Pro, Virtex 4 FX) Nota: Existen otros microprocesadores descritos en VHDL o Verilog que se pueden implementar en un FPGA como LEON, 8051 y otros

74 Comparativa de implementar en FPGA (HW) o up/dsp (SW) Mayores ventajas de las FPGAs Paralelismo!!! + Flexibilidad Reutilización del HW X + Y x X Y x Y X 2 + XY + Y 2 X X X Y Y Y x x x + Computación temporal Mayores desventajas de las FPGAs + Consumo - Tolerancia a fallos + Computación espacial - Seguridad

75 Ámbito de aplicación Prototipado Rápido Diseño de bloques propietarios (IP CORES), ejemplo : PCI, DCT, FFT, etc. Para series pequeñas Ejemplos Reales Productos Tecnología aeronáutica Control de fuentes de alimentación, control de alarmas y señalización del piloto. Inclinación de trenes para tomar curvas Aeropuerto Routers, estaciones bases HDTV NASA misión Pathfinder de Marte en 1997 (FPGA especial) Empresas en España: SIDSA, INDRA Empresas en Argentina Aplicaciones que requieren alta capacidad de computo Productos capaces de actualizarse después de la venta

76 CPLD o FPGA? CPLD FPGA Arquitectura PAL Arreglo de compuertas Densidad Baja a media Media a alta (hasta 10 6 compuertas) Velocidad Rápido Dependiente de la aplicación Inteconexión Crossbar Routing Consumo Alto Medio

77

78

79

80 Ejemplo F1 = A F2 = A B F3 = A + B F4 = A B + AB F1 = AB + AB = A (B+B ) = A F2 = A B (directo) F3 = A B + AB + AB = B + AB = B + A F4 = A B + AB (directo)

81 Forma comercial PAL16L8 (TI)

82 Forma comercial PAL16R6 (TI)

83 Forma comercial PALCE20V8 (Cypress)

84 Macrocelda (OLMC - Output Logic Macrocell)

LÓGICA PROGRAMABLE. Introducción Simple PLDs Complex PLDs FPGAs. Dpto. Ingeniería Electrónica y Comunicaciones

LÓGICA PROGRAMABLE. Introducción Simple PLDs Complex PLDs FPGAs. Dpto. Ingeniería Electrónica y Comunicaciones Introducción Simple PLDs Complex PLDs FPGAs Diseño tradicional: basado en CIs estándar SSI/MSI Obtención de la función lógica Reducción a términos producto Minimización: Número de integrados Retardo de

Más detalles

Introducción a FPGAs. Contenido

Introducción a FPGAs. Contenido Introducción a FPGAs Dra. Claudia Feregrino cferegrino@inaoep.mx Contenido 1. FPGA 2. Arquitectura genérica 3. Celda lógica 4. Field Programmable 5. Cómo se programa un FPGA 6. Herramientas de diseño 7.

Más detalles

Laboratorio de Diseño de Sistemas Digitales

Laboratorio de Diseño de Sistemas Digitales Proceso de Diseño Laboratorio de Diseño de Sistemas Digitales I Semestre 2008 Ing. Gabriela Ortiz L. Diseño Implementación Depuración Diseño: Concepto inicial. Cuál es la función que lleva a cabo el objeto?

Más detalles

CONTROL DIGITAL PARA CONVERTIDOR MULTINIVEL ALIMENTADO CON ENERGÍA SOLAR. Anexo A: FPGA. Introducción

CONTROL DIGITAL PARA CONVERTIDOR MULTINIVEL ALIMENTADO CON ENERGÍA SOLAR. Anexo A: FPGA. Introducción Anexo A: FPGA Introducción Cuando se requiere del diseño de un sistema electrónico y surge la necesidad de implementar una parte con hardware dedicado son varias las posibilidades que hay. Una es un diseño

Más detalles

Técnicas de Programación Hardware: CAD para FPGAs y CPLDs

Técnicas de Programación Hardware: CAD para FPGAs y CPLDs Técnicas de Programación Hardware: CAD para FPGAs y CPLDs Clase 4: FPGAs Por: Nelson Acosta & Daniel Simonelli UNICEN - Tandil - 1999 1 Implementación de Sistemas Procesador convencional. Economico, conjunto

Más detalles

Dispositivos Lógicos Programables (FPGAs) Guillermo Güichal Emtech www.emtech.com.ar

Dispositivos Lógicos Programables (FPGAs) Guillermo Güichal Emtech www.emtech.com.ar Dispositivos Lógicos Programables (FPGAs) Guillermo Güichal Emtech www.emtech.com.ar Temario Introducción Circuitos Digitales FPGAs Flujo y Herramientas de Diseño Diseño para Síntesis Simulación Ejemplos

Más detalles

Alternativas de implementación: Estilos

Alternativas de implementación: Estilos Alternativas de implementación: Estilos Alternativas de implementación: Estilos Alternativas de implementación: Estilos µprocesador INTEL 386: 3 estilos de layout Datapath: ALU 2-D arrays: Memoria Standard

Más detalles

El tamaño, estructura, número de bloques y la cantidad y conectividad de las conexiones varian en las distintas arquitecturas.

El tamaño, estructura, número de bloques y la cantidad y conectividad de las conexiones varian en las distintas arquitecturas. Que es una FPGA? Las FPGA s (Field Programmable Gate Array) Son dispositivos lógicos de propósito general programable por los usuarios, compuesto de bloques lógicos comunicados por conexiones programables.

Más detalles

CAPITULO 5. DISPOSITIVOS DE LOGICA RECONFIGURABLE

CAPITULO 5. DISPOSITIVOS DE LOGICA RECONFIGURABLE CAPITULO 5. DISPOSITIVOS DE LOGICA RECONFIGURABLE Los FPGA fueron introducidos por Xilinx en la mitad de 1980. Ellos difieren de los CPLDs en la arquitectura, por su tecnología de almacenamiento, número

Más detalles

DISPOSITIVOS DE LÓGICA PROGRAMABLES EN CAMPO (FPGA)

DISPOSITIVOS DE LÓGICA PROGRAMABLES EN CAMPO (FPGA) DISPOSITIVOS DE LÓGICA PROGRAMABLES EN CAMPO (FPGA) DIGITAL II ECA Departamento de Sistemas e Informática Escuela de Ingeniería Electrónica Rosa Corti 1 Preguntas a responder sobre FPGA Qué innovación

Más detalles

1.1. Tecnologías de diseño de circuitos integrados

1.1. Tecnologías de diseño de circuitos integrados 1.1. Tecnologías de diseño de circuitos integrados Durante la década de los 80, varias compañías intentaron resolver el viejo compromiso de complejidad versus estandarización. Por un lado se tenía la opción

Más detalles

Introducción a VHDL. Sistemas digitales UTM-2006 JJVS

Introducción a VHDL. Sistemas digitales UTM-2006 JJVS Introducción a VHDL Sistemas digitales UTM-2006 JJVS Surgimiento de VHDL Necesidad de nuevos métodos ya que los clásicos (esquemáticos), llegan a ser ineficientes en diseños de altas escalas de integración.

Más detalles

BLOQUE 2. (PARTE 3: Tema 1) CONJUNTO CONFIGURABLE DE PUERTAS FPGA (Field Programmable Gate Array) Clasificación y descripción

BLOQUE 2. (PARTE 3: Tema 1) CONJUNTO CONFIGURABLE DE PUERTAS FPGA (Field Programmable Gate Array) Clasificación y descripción SISTEMAS ELECTRÓNICOS DIGITALES OQUE 2 CIRCUITOS DIGITALES CONFIGURAES (PARTE 3: Tema 1) CONJUNTO CONFIGURAE DE PUERTAS Clasificación y descripción Enrique Mandado Pérez María José Moure Rodríguez Circuito

Más detalles

Introducción a los FPGAs y el Cómputo Reconfigurable Miguel Morales Sandoval INAOE, 2006

Introducción a los FPGAs y el Cómputo Reconfigurable Miguel Morales Sandoval INAOE, 2006 Introducción a los FPGAs y el Cómputo Reconfigurable Miguel Morales Sandoval INAOE, 2006 Qué son los FPGAs? Matriz de bloques lógicos configurables (CLB) y una matriz de interconexión. Los bloques lógicos

Más detalles

Dispositivos Lógicos Programables

Dispositivos Lógicos Programables Dispositivos Lógicos Programables Luis Entrena, Celia López, Mario García, Enrique San Millán Universidad Carlos III de Madrid 1 Indice Tecnologías de implementación de circuitos programables Circuitos

Más detalles

Orientado a reducir costes en el proceso de fabricación disminuyendo el número de pasos de fabricación

Orientado a reducir costes en el proceso de fabricación disminuyendo el número de pasos de fabricación ESTILOS DE IMPLEMENTACIÓN & METODOLOGIAS DE DISEÑO SEMICUSTOM. Diseño basado en Arrays Orientado a reducir costes en el proceso de fabricación disminuyendo el número de pasos de fabricación CONTRAPARTIDA

Más detalles

Encuesta sobre utilización de la microelectrónica en la Argentina

Encuesta sobre utilización de la microelectrónica en la Argentina Encuesta sobre utilización de la microelectrónica en la Argentina Los dispositivos microelectrónicos forman el corazón de todo sistema electrónico de nuestros días. En ellos los circuitos alcanzan las

Más detalles

FPGA (CAMPO DE MATRIZ DE PUERTAS PROGRAMABLES).

FPGA (CAMPO DE MATRIZ DE PUERTAS PROGRAMABLES). FPGA (CAMPO DE MATRIZ DE PUERTAS PROGRAMABLES). 1 1 FIELD PROGRAMMABLE GATE ARRAY Un FPGA (Field Programmable Gate Array) permite implementar cualquier circuito digital de aplicación específica. Las aplicaciones

Más detalles

FPGAs. Susana Borromeo Área de Tecnología Electrónica. Diseño de Sistemas Electrónicos. 2014/2015. Metodología de Diseño. Características generales

FPGAs. Susana Borromeo Área de Tecnología Electrónica. Diseño de Sistemas Electrónicos. 2014/2015. Metodología de Diseño. Características generales FPGAs Susana Borromeo Área de Tecnología Electrónica Esquema Conceptos generales Dispositivos Lógicos Programables FPGAs Metodología de Diseño VHDL Características generales VHDL Comportamental y Estructural

Más detalles

Laboratorio 4: Uso de una FPGA

Laboratorio 4: Uso de una FPGA Laboratorio 4: Uso de una FPGA Objetivos: Conocer y comprender la estructura interna de una FPGA y su tarjeta de desarrollo que será usada en el laboratorio, y los cuidados y recomendaciones para evitar

Más detalles

5. Metodologías de diseño de un ASIC

5. Metodologías de diseño de un ASIC 5. Metodologías de diseño de un ASIC 5.1. Introducción 5.2. Gate Arrays 5.3. Standard Cells 5.4. Seas of Gates 5.5. Dispositivos programables FPGAs Dispositivos programables El diseño de circuitos integrados

Más detalles

Lógica Estándar. Compuertas lógicas, flip flop, decodificadores, disponibles en chips SSI y MSI. No son una buena solución de diseños grandes.

Lógica Estándar. Compuertas lógicas, flip flop, decodificadores, disponibles en chips SSI y MSI. No son una buena solución de diseños grandes. 2 Lógica Estándar Compuertas lógicas, flip flop, decodificadores, disponibles en chips SSI y MSI. No son una buena solución de diseños grandes. Microprocesadores / DSP Enfoque distinto para diseño de sistemas

Más detalles

SINTESIS Y DESCRIPCIÓN DE CIRCUITOS DIGITALES UTILIZANDO VHDL ANTECEDENTES

SINTESIS Y DESCRIPCIÓN DE CIRCUITOS DIGITALES UTILIZANDO VHDL ANTECEDENTES ANTECEDENTES En los últimos diez años la industria electrónica ha tenido una gran evolución en el desarrollo de sistemas digitales; desde computadoras personales, sistemas de audio y vídeo hasta dispositivos

Más detalles

Tipos de Dispositivos Controladores

Tipos de Dispositivos Controladores Tipos de Dispositivos Controladores PLC Allen Bradley Siemens Schneider OMRON PC & Software LabView Matlab Visual.NET (C++, C#) FPGAS Xilinx Altera Híbridos Procesador + FPGA (altas prestaciones) µcontrolador

Más detalles

Sistemas Embebidos 1º Cuatrimestre de 2015

Sistemas Embebidos 1º Cuatrimestre de 2015 Sistemas Embebidos 1º Cuatrimestre de 2015 Clase 11: Contenido Introducción : concepto y tecnologías Categorías de SPLDs CPLDs FPGAs Procesadores Soft-Core Prof: Sebastián Escarza Dpto. de Ciencias e Ingeniería

Más detalles

Metodologías de diseño de hardware

Metodologías de diseño de hardware Capítulo 2 Metodologías de diseño de hardware Las metodologías de diseño de hardware denominadas Top-Down, basadas en la utilización de lenguajes de descripción de hardware, han posibilitado la reducción

Más detalles

Dispositivos Lógicos Programables. Sistemas Digitales II / Electronica Digital II

Dispositivos Lógicos Programables. Sistemas Digitales II / Electronica Digital II Dispositivos Lógicos Programables (PLDs) Dispositivos Logicos Programables (PLDs) Dispositivos Programables Simples (SPLD) Dispositivos iti Programmables Complejos (CPLD) Arreglo de Compuertas Programables

Más detalles

Tema 2: Memorias y Dispositivos Lógicos Programables

Tema 2: Memorias y Dispositivos Lógicos Programables Tema 2: Memorias y Dispositivos Lógicos Programables 1. Memorias 1.1 Conceptos básicos 1.2 Clasificación de memorias semiconductoras. 1.3 Memorias de Acceso aleatorio - Memorias de sólo lectura (ROM).

Más detalles

UNIDAD 5 Arquitectura FPGA

UNIDAD 5 Arquitectura FPGA 22 UNIDAD 5 Arquitectura FPGA 5.1 Fundamentos Teóricos. Un FPGA (Field Programmable Gate Array - Arreglo de Compuertas Programable en Campo) es, al igual que un PLD y un CPLD, un ASIC programable, pero

Más detalles

Breve Curso de VHDL. M. C. Felipe Santiago Espinosa. Profesor Investigador UTM

Breve Curso de VHDL. M. C. Felipe Santiago Espinosa. Profesor Investigador UTM Breve Curso de VHDL M. C. Felipe Santiago Espinosa Profesor Investigador UTM Noviembre de 2007 1 Orden del curso 1. Introducción al diseño con VHDL. 2. Unidades de diseño en VHDL. 3. Señales. 4. Especificación

Más detalles

Electrónica Digital. Tema 2. Dispositivos Lógicos Programables (PLD)

Electrónica Digital. Tema 2. Dispositivos Lógicos Programables (PLD) Electrónica Digital Tema 2 Dispositivos Lógicos Programables (PLD) Dispositivos Lógicos Programables Introducción. Dispositivos Lógicos Programables Sencillos. Dispositivos Lógicos Programables Complejos.

Más detalles

FPGA FIELD PROGRAMMABLE GATE ARRAY

FPGA FIELD PROGRAMMABLE GATE ARRAY FPGA FIELD PROGRAMMABLE GATE ARRAY Arreglo Programables A los circuitos digitales que son programables en hardware usando lenguajes como VHDL se les conoce como dispositivos lógicos programables (PLDs,

Más detalles

VHDL. Lenguaje de descripción hardware Introducción e historia

VHDL. Lenguaje de descripción hardware Introducción e historia VHDL. Lenguaje de descripción hardware Introducción e historia 2007 A.G.O. All Rights Reserved s descriptivos y niveles de abstracción s descriptivos: Comportamiento Se realiza la función sin información

Más detalles

CAPÍTULO II: DISPOSITIVOS LÓGICOS PROGRAMABLES DISPOSITIVOS LÓGICOS PROGRAMABLES IEC FRANCISCO JAVIER TORRES VALLE

CAPÍTULO II: DISPOSITIVOS LÓGICOS PROGRAMABLES DISPOSITIVOS LÓGICOS PROGRAMABLES IEC FRANCISCO JAVIER TORRES VALLE II DISPOSITIVOS LÓGICOS PROGRAMABLES 9 2.1 CONCEPTOS FUNDAMENTALES muestran los circuitos básicos para la mayoría de los PLDs. La fabricación de dispositivos de lógica programable se basa en los siguientes

Más detalles

TEMA VII: DISEÑO SECUENCIAL PROGRAMABLE

TEMA VII: DISEÑO SECUENCIAL PROGRAMABLE TEMA VII: ISEÑO SECUENCIAL PROGRAMABLE e igual forma que podíamos disponer de dispositivos combinacionales programables para poder implementar funciones combinacionales en un solo integrado, en el dominio

Más detalles

CURSO DISEÑO DE SISTEMAS DIGITALES MEDIANTE VHDL PARA SU IMPLEMENTACIÓN CON FPGAS. 40 horas (15 horas teoría + 25 horas práctica)

CURSO DISEÑO DE SISTEMAS DIGITALES MEDIANTE VHDL PARA SU IMPLEMENTACIÓN CON FPGAS. 40 horas (15 horas teoría + 25 horas práctica) CURSO DISEÑO DE SISTEMAS DIGITALES MEDIANTE VHDL PARA SU IMPLEMENTACIÓN CON FPGAS 40 horas (15 horas teoría + 25 horas práctica) OBJETIVOS Aprendizaje del lenguaje VHDL para el diseño de sistemas digitales

Más detalles

Dispositivos lógicos programables (PLDs(

Dispositivos lógicos programables (PLDs( Dispositivos lógicos programables (PLDs( PLDs) SPLDs (Dispositivos lógicos programables simples) Estructuras lógicas basadas en planos AND-OR CPLDs (Dispositivos lógicos programables complejos) Combinan

Más detalles

DESARROLLO DE UN COPROCESADOR EN PUNTO FLOTANTE PARA LA RESOLUCIÓN DE LA ECUACIÓN DE POISSON 1D EN ESTRUCTURAS SOI. Ingeniería Electrónica

DESARROLLO DE UN COPROCESADOR EN PUNTO FLOTANTE PARA LA RESOLUCIÓN DE LA ECUACIÓN DE POISSON 1D EN ESTRUCTURAS SOI. Ingeniería Electrónica DESARROLLO DE UN COPROCESADOR EN PUNTO FLOTANTE PARA LA RESOLUCIÓN DE LA ECUACIÓN DE POISSON 1D EN ESTRUCTURAS SOI Ingeniería Electrónica Francisco Pasadas Cantos Granada 01 Directores: Antonio García

Más detalles

PROYECTO CURRICULAR. Electrónica Digital y Microprogramable

PROYECTO CURRICULAR. Electrónica Digital y Microprogramable PROYECTO CURRICULAR Electrónica Digital y Microprogramable Ciclo Formativo Grado Medio Equipos Electrónicos de Consumo CAPACIDADES TERMINALES 1 Analizar funcionalmente circuitos electrónicos digitales,

Más detalles

Introducción a los dispositivos de lógica programable en campo (FPGA) Laboratorio de diseño digital

Introducción a los dispositivos de lógica programable en campo (FPGA) Laboratorio de diseño digital Introducción a los dispositivos de lógica programable en campo (FPGA) Laboratorio de diseño digital MARÍA ISABEL SCHIAVON - 2005 1907 1 950 RESEÑA HISTORICA 60 MSI 70 LSI microprocesador 1958 80 circuitos

Más detalles

Técnicas de Programación Hardware: CAD para FPGAs y CPLDs. Clase 1: Lógica Configurable

Técnicas de Programación Hardware: CAD para FPGAs y CPLDs. Clase 1: Lógica Configurable Técnicas de Programación Hardware: CAD para FPGAs y CPLDs Clase 1: Lógica Configurable Por: Nelson Acosta & Daniel Simonelli UNICEN - Tandil - 1999 1 Organización del curso Clases teórico/prácticas: 1

Más detalles

GAL22V10. 12 entradas dedicadas. 10 pines E/S. Disponible encapsulado DIP (24 pines), PLCC (28 pines) o baja tensión (GAL22LV10).

GAL22V10. 12 entradas dedicadas. 10 pines E/S. Disponible encapsulado DIP (24 pines), PLCC (28 pines) o baja tensión (GAL22LV10). GAL22V10 12 entradas dedicadas 10 pines E/S Disponible encapsulado DIP (24 pines), PLCC (28 pines) o baja tensión (GAL22LV10). 10 OLMCs disponibles. OLMCs programables como E o S combinacional o como S

Más detalles

Capítulo 1: Diseño Estructurado:

Capítulo 1: Diseño Estructurado: Capítulo 1: Diseño Estructurado: Metodología General de Diseño Top-Down Herramientas Tecnologías de implementación Test de Circuitos y Sistemas DEA SED 1-1 Metodologías General de Diseño Análisis del Sistema

Más detalles

Tema 11: Sistemas combinacionales

Tema 11: Sistemas combinacionales Tema 11: Sistemas combinacionales Objetivo: Introducción Generador Comprobador de paridad Comparadores Semisumador (HA) Sumador Completo (FA) Expansión de sumadores Sumador paralelo con arrastre serie

Más detalles

PROGRAMA DE CURSO Modelo 2009

PROGRAMA DE CURSO Modelo 2009 REQUISITOS: HORAS: 3 Horas a la semana CRÉDITOS: PROGRAMA(S) EDUCATIVO(S) QUE LA RECIBE(N): IETRO PLAN: 2009 FECHA DE REVISIÓN: Mayo de 2011 Competencia a la que contribuye el curso. DEPARTAMENTO: Departamento

Más detalles

1. INTRODUCCIÓN A LOS CIRCUITOS DIGITALES PROGRAMABLES

1. INTRODUCCIÓN A LOS CIRCUITOS DIGITALES PROGRAMABLES UNIVERSIDAD TECNOLÓGICA DE LA MIXTECA Instituto de Electrónica y Mecatrónica 1. INTRODUCCIÓN A LOS CIRCUITOS DIGITALES PROGRAMABLES S I S T E M A S D I G I T A L E S 1 M. C. Felipe Santiago Espinosa Octubre

Más detalles

MEMORIAS Y LÓGICA PROGRAMABLE

MEMORIAS Y LÓGICA PROGRAMABLE MEMORIAS Y LÓGICA PROGRAMABLE CONCEPTOS BÁSICOS LDD 2007-08 1 Memorias Almacenan gran cantidad de información (datos). Esquema lógico básico: DIR p MEM p 2 * n n DAT DAT n Señales de control LDD 2007-08

Más detalles

TÉCNICO SUPERIOR UNIVERSITARIO EN MANTENIMIENTO ÁREA INDUSTRIAL

TÉCNICO SUPERIOR UNIVERSITARIO EN MANTENIMIENTO ÁREA INDUSTRIAL TÉCNICO SUPERIOR UNIVERSITARIO EN MANTENIMIENTO ÁREA INDUSTRIAL HOJA DE ASIGNATURA CON DESGLOSE DE UNIDADES TEMÁTICAS 1. Nombre de la asignatura Electrónica digital 2. Competencias Supervisar el reemplazo

Más detalles

Curso Completo de Electrónica Digital

Curso Completo de Electrónica Digital CURSO Curso Completo de Electrónica Digital Departamento de Electronica y Comunicaciones Universidad Pontifica de Salamanca en Madrid Prof. Juan González Gómez Capítulo 4 CIRCUITOS COMBINACIONALES 4.1.

Más detalles

TECNICO SUPERIOR UNIVERSITARIO EN TECNOLOGIAS DE LA INFORMACION Y COMUNICACIÓN ÁREA REDES Y TELECOMUNICACIONES.

TECNICO SUPERIOR UNIVERSITARIO EN TECNOLOGIAS DE LA INFORMACION Y COMUNICACIÓN ÁREA REDES Y TELECOMUNICACIONES. TECNICO SUPERIOR UNIVERSITARIO EN TECNOLOGIAS DE LA INFORMACION Y COMUNICACIÓN ÁREA REDES Y TELECOMUNICACIONES. HOJA DE ASIGNATURA CON DESGLOSE DE UNIDADES TEMÁTICAS 1. Nombre de la asignatura Sistemas

Más detalles

Diseño Lógico I Facultad de Ciencias Exactas y Tecnología UNT. LENGUAJES DE DESCRIPCIÓN DE HARDWARE

Diseño Lógico I Facultad de Ciencias Exactas y Tecnología UNT. LENGUAJES DE DESCRIPCIÓN DE HARDWARE LENGUAJES DE DESCRIPCIÓN DE HARDWARE METODOS PARA DESCRIBIR CIRCUITOS LÓGICOS Descripción verbal Tablas de verdad Diagrama esquemático Expresiones del álgebra booleana Diagrama de tiempos Lenguajes de

Más detalles

PROCESAMIENTO DIGITAL DE IMÁGENES MEDIANTE EL USO DE UN FPGA Y LENGUAJE VHDL

PROCESAMIENTO DIGITAL DE IMÁGENES MEDIANTE EL USO DE UN FPGA Y LENGUAJE VHDL PROCESAMIENTO DIGITAL DE IMÁGENES MEDIANTE EL USO DE UN FPGA Y LENGUAJE VHDL N. E. Chávez Rodríguez*, A. M. Vázquez Vargas** *Departamento de Computación **Departamento de Procesamiento Digital de Señales

Más detalles

CAPÍTULO 3 MÓDULO DIGITAL PARA CONVERSIÓN DE VIDEO

CAPÍTULO 3 MÓDULO DIGITAL PARA CONVERSIÓN DE VIDEO CAPÍTULO 3 MÓDULO DIGITAL PARA CONVERSIÓN DE VIDEO 3.1 INTRODUCCIÓN Las señales provenientes de una tarjeta de video de una computadora son formatos estandarizados que podemos emplear para desplegar información

Más detalles

BLOQUE 2 (PARTE 2) DISPOSITIVOS LÓGICOS PROGRAMABLES

BLOQUE 2 (PARTE 2) DISPOSITIVOS LÓGICOS PROGRAMABLES SISTEMAS ELECTRÓNICOS DIGITALES BLOQUE 2 CIRCUITOS DIGITALES CONFIGURABLES (PARTE 2) DISPOSITIVOS LÓGICOS PROGRAMABLES Enrique Mandado Pérez María José Moure Rodríguez DEFINICIÓN DE DISPOSITIVO LÓGICO

Más detalles

ESTRUCTURA Y TECNOLOGÍA A DE LOS COMPUTADORES I. TEMA 5 Introducción n a los Sistemas Digitales

ESTRUCTURA Y TECNOLOGÍA A DE LOS COMPUTADORES I. TEMA 5 Introducción n a los Sistemas Digitales ESTRUCTURA Y TECNOLOGÍA A DE LOS COMPUTADORES I TEMA 5 Introducción n a los Sistemas Digitales TEMA 5. Introducción n a los Sistemas Digitales 5.1 Sistemas Digitales 5.2 Sistemas Combinacionales 5.3 Sistemas

Más detalles

Aquí vemos como una PLD no programada (donde todos sus fusibles están intactos), así para la salida O1 tenemos:

Aquí vemos como una PLD no programada (donde todos sus fusibles están intactos), así para la salida O1 tenemos: PLD PLD son las siglas de Programmable Logic Design o dispositivos de lógica programable. Estos circuitos integrados permiten generar dentro del mismo funciones que no disponemos en los C.I. comerciales

Más detalles

TEMA IV: SÍNTESIS HARDWARE

TEMA IV: SÍNTESIS HARDWARE TEMA IV: SÍNTES HARDWARE Especificaciones Formato intermedio Partición HW/SW LA SÍNTES HARDWARE ES LA TAREA DE PASAR DE UN DOMINIO DE ABSTRACCIÓN A OTRO COMPORTAMIENTO -> ESTRUCTURA Código Implementación

Más detalles

Palabras Clave: Vídeo en FPGA, Procesamiento en Tiempo Real RESUMEN

Palabras Clave: Vídeo en FPGA, Procesamiento en Tiempo Real RESUMEN Procesamiento de Vídeo en Tiempo Real Utilizando FPGA J. G. Velásquez-Aguilar, A. Zamudio-Lara Centro de Investigación en Ingeniería y Ciencias Aplicadas, Universidad Autónoma del Estado de Morelos, Cuernavaca,

Más detalles

Introducción a los Dispositivos Lógicos Programables (FPGAs) Guillermo Güichal

Introducción a los Dispositivos Lógicos Programables (FPGAs) Guillermo Güichal Introducción a los Dispositivos Lógicos Programables (FPGAs) Guillermo Güichal www.emtech.com.ar Temario Introducción Circuitos Digitales FPGAs Flujo y Herramientas de Diseño Simulación CPUs con FPGA o

Más detalles

Implementación de DSP en FPGAs

Implementación de DSP en FPGAs Implementación de DSP en FPGAs Disertantes: Marcos Cervetto Ingeniero Electrónico - UBA Laboratorio de Radiocomunicaciones - INTI Edgardo Marchi Ingeniero Electrónico - UBA Laboratorio de Radiocomunicaciones

Más detalles

COMUNICACIÓN I2C (INTER-INTEGRATED CIRCUIT)

COMUNICACIÓN I2C (INTER-INTEGRATED CIRCUIT) COMUNICACIÓN I2C (INTER-INTEGRATED CIRCUIT) Centro CFP/ES COMUNICACIÓN I2C 1 VENTAJAS DE LA COMUNICACIÓN I2C COMPARATIVA ESTANDAR DE TRANSMISIÓN 2 DISPOSITIVOS I2C DISPOSITIVOS I2C MAX518 3 DISPOSITIVOS

Más detalles

ACTIVIDADES TEMA 1. EL LENGUAJE DE LOS ORDENADORES. 4º E.S.O- SOLUCIONES.

ACTIVIDADES TEMA 1. EL LENGUAJE DE LOS ORDENADORES. 4º E.S.O- SOLUCIONES. 1.- a) Explica qué es un bit de información. Qué es el lenguaje binario? Bit es la abreviatura de Binary digit. (Dígito binario). Un bit es un dígito del lenguaje binario que es el lenguaje universal usado

Más detalles

La Unidad Procesadora.

La Unidad Procesadora. La Unidad Procesadora. En un sistema digital complejo, la capa de hardware de la máquina es el nivel más bajo del modelo de capas de un sistema microcomputarizado. La unidad procesadora es una parte del

Más detalles

TEMA 0: Introducción: Aspectos Tecnológicos y Metodológicos del diseño de sistemas

TEMA 0: Introducción: Aspectos Tecnológicos y Metodológicos del diseño de sistemas TEMA 0: Introducción: Aspectos Tecnológicos y Metodológicos del diseño de sistemas Curso 07/08 Departamento de Arquitectura y Tecnología de Sistemas Informáticos - Facultad de Informática - Universidad

Más detalles

INDICE 1. Conceptos Introductorias 2. Sistemas Numéricos y Códigos 3. Compuertas Lógicas y Álgebras Booleana 4. Circuitos Lógicos Combinatorios

INDICE 1. Conceptos Introductorias 2. Sistemas Numéricos y Códigos 3. Compuertas Lógicas y Álgebras Booleana 4. Circuitos Lógicos Combinatorios INDICE 1. Conceptos Introductorias 1 1.1. Representaciones numéricas 3 1.2. Sistemas digitales y analógicos 4 1.3. Sistemas numéricos digitales 6 1.4. Representación de cantidades binarias 10 1.5. Circuitos

Más detalles

DISEÑO DIGITAL MODERNO

DISEÑO DIGITAL MODERNO DISEÑO DIGITAL MODERNO MODERN DIGITAL DESIGN Por Jaime Orlando Ruiz Pazos Ingeniero Electrónico, Universidad del Cauca MSc. Sistemas Digitales, Instituto Superior Politécnico José Antonio Echeverría, La

Más detalles

Memorias no volátiles

Memorias no volátiles Memorias no volátiles Todo circuito secuencial dispone de una memoria de algún tipo, ya que cada biestable, registro o contador, permite almacenar un determinado número de bits de información. Sin embargo,

Más detalles

Diseño de Hardware con VHDL

Diseño de Hardware con VHDL Diseño de Hardware con VHDL Facultad de Ingeniería Laboratorio Electrónica Segundo Semestre, 2015 Field Programmable Gate Array (FPGA) De una manera superficial podemos decir que las FPGA son chips de

Más detalles

Introducción a los Dispositivos Lógicos Programables (FPGAs) Guillermo Güichal

Introducción a los Dispositivos Lógicos Programables (FPGAs) Guillermo Güichal Introducción a los Dispositivos Lógicos Programables (FPGAs) Guillermo Güichal www.emtech.com.ar Temario Introducción Circuitos Digitales FPGAs Flujo y Herramientas de Diseño Diseño para Síntesis Simulación

Más detalles

TEMA I INTRODUCCIÓN A LA MICROELECTRÓNICA

TEMA I INTRODUCCIÓN A LA MICROELECTRÓNICA TEMA I INTRODUCCIÓN A LA MICROELECTRÓNICA La Microelectrónica se puede definir como el conjunto de ciencias y técnicas con las que se realizan y fabrican circuitos electrónicos, sobre una pastilla de un

Más detalles

Diseño de Sistemas Embebidos en FPGA Curso de Posgrado Facultad de Ciencias Exactas y Tecnología UNT.

Diseño de Sistemas Embebidos en FPGA Curso de Posgrado Facultad de Ciencias Exactas y Tecnología UNT. Tema 4 Parte 1: Tecnologías de configuración Parte 2: Lenguajes de descripción de Hardware#4 TECNOLOGÍA Tipo de elemento de configuración utilizado. La tecnología de los elementos de configuración influye

Más detalles

Reprogramación de módulos de control

Reprogramación de módulos de control Reprogramación de módulos de control Componentes de un computador. 1)Bloque de Entrada: Se denomina bloque de entrada a todos los circuitos que se encuentran como receptores de las diferentes señales que

Más detalles

MEMORIAS DE SEMICONDUCTORES

MEMORIAS DE SEMICONDUCTORES MEMORIAS DE SEMICONDUCTORES Se ha visto anteriormente que un registro (latch o flip-flop) puede almacenar un bit. Para almacenar una gran cantidad de bits, se recurre al uso de memorias. Una memoria, en

Más detalles

UNIDAD 1. INTRODUCCIÓN A LOS DISPOSITIVOS LÓGICOS PROGRAMABLES (PLDs)

UNIDAD 1. INTRODUCCIÓN A LOS DISPOSITIVOS LÓGICOS PROGRAMABLES (PLDs) UNIDAD 1 INTRODUCCIÓN A LOS DISPOSITIVOS LÓGICOS PROGRAMABLES (PLDs) Tecnologías de diseño de sistemas digitales Definición y aplicaciones de los PLDs Tipos de PLDs Tecnologías de configuración de los

Más detalles

VHDL. El arte de programar sistemas digitales. David G. Maxinez Jessica Alcalá Jara

VHDL. El arte de programar sistemas digitales. David G. Maxinez Jessica Alcalá Jara VHDL El arte de programar sistemas digitales David G. Maxinez Jessica Alcalá Jara Instituto Tecnológico y de Estudios Superiores de Monterrey Campus Estado de México PRIMERA EDICIÓN MÉXICO, 2002 COMPAÑÍA

Más detalles

UNIDADES FUNCIONALES DEL ORDENADOR TEMA 3

UNIDADES FUNCIONALES DEL ORDENADOR TEMA 3 UNIDADES FUNCIONALES DEL ORDENADOR TEMA 3 INTRODUCCIÓN El elemento hardware de un sistema básico de proceso de datos se puede estructurar en tres partes claramente diferenciadas en cuanto a sus funciones:

Más detalles

Estructura interna de una memoria RAM estática

Estructura interna de una memoria RAM estática Estructura interna de una memoria RAM estática Ciclos de lectura (Memoria RAM estática CY7C148) Ciclos de escritura (Memoria RAM estática CY7C148) Características temporales de la memoria Tipos: PROM

Más detalles

Estructura interna de una memoria RAM estática

Estructura interna de una memoria RAM estática Estructura interna de una memoria RAM estática Ciclos de lectura (Memoria RAM estática CY7C148) Ciclos de escritura (Memoria RAM estática CY7C148) Características temporales de la memoria Tipos: PROM

Más detalles

T6. CIRCUITOS ARITMÉTICOS

T6. CIRCUITOS ARITMÉTICOS T6. CIRCUITOS ARITMÉTICOS Circuitos Aritméticos Son dispositivos MSI que pueden realizar operaciones aritméticas (suma, resta, multiplicación y división) con números binarios. De todos los dispositivos,

Más detalles

Clase 20: Arquitectura Von Neuman

Clase 20: Arquitectura Von Neuman http://computacion.cs.cinvestav.mx/~efranco @efranco_escom efranco.docencia@gmail.com Estructuras de datos (Prof. Edgardo A. Franco) 1 Contenido Arquitectura de una computadora Elementos básicos de una

Más detalles

Electrónica Digital II

Electrónica Digital II Electrónica Digital II M. C. Felipe Santiago Espinosa Aplicaciones de los FPLDs Octubre / 2014 Aplicaciones de los FPLDs Los primeros FPLDs se usaron para hacer partes de diseños que no correspondían a

Más detalles

Electrónica Digital. Conceptos Digitales. Dr. Oscar Ruano 2011-2012 1

Electrónica Digital. Conceptos Digitales. Dr. Oscar Ruano 2011-2012 1 Electrónica Digital Conceptos Digitales Dr. Oscar Ruano 2011-2012 1 Magnitudes analógicas y digitales Magnitud Analógica: toma valores continuos: Por ejemplo la temperatura no varía de entre 20ºC y 25ºC

Más detalles

Electrónica Digital Departamento de Electrónica VHDL. Bioingeniería Facultad de Ingeniería - UNER

Electrónica Digital Departamento de Electrónica VHDL. Bioingeniería Facultad de Ingeniería - UNER Electrónica Digital Departamento de Electrónica VHDL Bioingeniería Facultad de Ingeniería - UNER VHDL VHSIC Hardware Design Language VHSIC Very High Speed Integrated Circuits Verilog Handel C Celóxica

Más detalles

Dispositivos de lógica programable

Dispositivos de lógica programable Dispositivos de lógica programable SISTEMAS ELECTRÓNICOS DIGITALES 2 o Curso Ingeniería Técnica Industrial Especialidad en Electrónica Industrial Dr. José Luis Rosselló Índice Conceptos generales Dispositivos

Más detalles

TECNICO SUPERIOR UNIVERSITARIO EN MECATRÓNICA

TECNICO SUPERIOR UNIVERSITARIO EN MECATRÓNICA TECNICO SUPERIOR UNIVERSITARIO EN MECATRÓNICA HOJA DE ASIGNATURA CON DESGLOSE DE UNIDADES TEMÁTICAS 1. Nombre de la asignatura Sistemas digitales 2. Competencias Desarrollar y conservar sistemas automatizados

Más detalles

Introducción 7. Introducción

Introducción 7. Introducción Introducción 7 Introducción En las últimas décadas hemos asistido a un rápido desarrollo de los sistemas electrónicos digitales, origen y consecuencia del crecimiento de las redes de comunicaciones, de

Más detalles

Tema 16 ELECTRÓNICA DIGITAL LENGUAJES DE DESCRIPCIÓN DE LOS SISTEMAS DIGITALES (PARTE 1)

Tema 16 ELECTRÓNICA DIGITAL LENGUAJES DE DESCRIPCIÓN DE LOS SISTEMAS DIGITALES (PARTE 1) ELECTRÓNICA DIGITAL Tema 16 LENGUAJES DE DESCRIPCIÓN DE LOS SISTEMAS DIGITALES (PARTE 1) IMPORTANCIA DE LOS ESQUEMAS Los circuitos y sistemas electrónicos digitales cuya complejidad es limitada, se pueden

Más detalles

Tipos de Memoria. Microprocesadores. Microprocesadores. Carlos Canto Q. MEMORIA DE ALMACENAJE ALAMCEN SECUNDARIO ALAMACEN DE RESPALDO

Tipos de Memoria. Microprocesadores. Microprocesadores. Carlos Canto Q. MEMORIA DE ALMACENAJE ALAMCEN SECUNDARIO ALAMACEN DE RESPALDO Tipos de Memoria TIPOS TIPOS DE DE DE DE LECTURA/ESCRITURA LECTURA/ESCRITURA RAM RAM ( ( VOLÁTIL) VOLÁTIL) PRINCIPAL PRINCIPAL DE DE SOLO SOLO LECTURA LECTURA ROM ROM (NO (NO VOLÁTIL) VOLÁTIL) DE ALMACENAJE

Más detalles

Tipos de sistemas digitales: Sistemas combinacionales: las variables de salida dependen en todo instante de los valores de las variables de entrada.

Tipos de sistemas digitales: Sistemas combinacionales: las variables de salida dependen en todo instante de los valores de las variables de entrada. INTRODUCCIÓN A SISTEMAS DIGITALES Niveles de diseño: Nivel de arquitectura: identifica elementos de mayor nivel (CPU, memoria, periféricos, etc.) Nivel lógico: estructura interna de los componentes definidos

Más detalles

TECNICO SUPERIOR UNIVERSITARIO EN MECATRÓNICA ÁREA AUTOMATIZACIÓN

TECNICO SUPERIOR UNIVERSITARIO EN MECATRÓNICA ÁREA AUTOMATIZACIÓN TECNICO SUPERIOR UNIVERSITARIO EN MECATRÓNICA ÁREA AUTOMATIZACIÓN HOJA DE ASIGNATURA CON DESGLOSE DE UNIDADES TEMÁTICAS Pág. 1 de 23 1. Nombre de la asignatura Sistemas digitales II. 2. Competencias Implementar

Más detalles

Ivan Dario posso 20081283019 Diana K. Avella 20071283004 ÍNDICE ÍNDICE DE FIGURAS

Ivan Dario posso 20081283019 Diana K. Avella 20071283004 ÍNDICE ÍNDICE DE FIGURAS 1 Diseño de una unidad de control para una red de informadores electronicos con algoritmos de multiples efectos de visualizacion incorporando CPLD y FPGAs para la empresa Ciel Ingenieria Ltda. Ivan Dario

Más detalles

Arquitectura de FPGAs

Arquitectura de FPGAs Arquitectura de FPGAs Diseño Lógico 2-2017 Instituto de Ingeniería Eléctrica Facultad de Ingeniería Universidad de la República PLD / FPGA - Mid 80's: 8 a 16 funciones lógicas - En el lab: 15000 funciones

Más detalles

Electrónica Digital II. M. C. Felipe Santiago Espinosa

Electrónica Digital II. M. C. Felipe Santiago Espinosa Electrónica Digital II M. C. Felipe Santiago Espinosa Octubre de 2014 WinCUPL Software desarrollado por Atmel Corporation. CUPL: Compilador universal para lógica programable (genera archivos para programar

Más detalles

CLASIFICACION GENERAL

CLASIFICACION GENERAL El nombre de Dispositivos lógicos Programables ó PLD (Programmable Logic Device) es una acepción genérica establecida para cualquier sistema digital cuyo funcionamiento está determinado por el usuario,

Más detalles

DISEÑO DE PLACAS DE PROTOTIPADO CON FPGAs VIRTEX Y APLICACIÓN PARA LABORATORIOS DOCENTES

DISEÑO DE PLACAS DE PROTOTIPADO CON FPGAs VIRTEX Y APLICACIÓN PARA LABORATORIOS DOCENTES DISEÑO DE PLACAS DE PROTOTIPADO CON FPGAs VIRTEX Y APLICACIÓN PARA LABORATORIOS DOCENTES Gerardo Leyva 1, Felipe Rizo 2, Carlos Carreras 1, Octavio Nieto-Taladriz 1 1 Universidad Politécnica de Madrid.

Más detalles

Programa ALTERA destinado a Universidades

Programa ALTERA destinado a Universidades Programa ALTERA destinado a Universidades Software MAX+PLUS II versión estudiantil 9.23. UP 1 Education Board Dispositivo EPM7128S de 84 pines y encapsulado del tipo plastic J-lead chip carrier (PLCC)

Más detalles

Circuitos lógicos MSI Combinacionales

Circuitos lógicos MSI Combinacionales Departamento de Electrónica Electrónica Digital Circuitos lógicos MSI Combinacionales Facultad de Ingeniería Bioingeniería Universidad Nacional de Entre Ríos 1 Temario Decodificadores / Conversores de

Más detalles

ESCUELA TÉCNICA SUPERIOR DE INGENIEROS INDUSTRIALES Y DE TELECOMUNICACIÓN

ESCUELA TÉCNICA SUPERIOR DE INGENIEROS INDUSTRIALES Y DE TELECOMUNICACIÓN ESCUELA TÉCNICA SUPERIOR DE INGENIEROS INDUSTRIALES Y DE TELECOMUNICACIÓN Titulación : INGENIERO TÉCNICO DE TELECOMUNICACIÓN, ESPECIALIDAD EN SONIDO E IMAGEN Título del proyecto: ENTORNO EDUCATIVO PARA

Más detalles

3.1 Introducción a VHDL

3.1 Introducción a VHDL Capítulo 3 Implementación en VHDL A continuación se va a explicar brevemente el funcionamiento de VHDL y las componentes de programación para poder entender mejor el programa. Una vez explicado esto, se

Más detalles