LENGUAJE VHDL. Ing. Wilmer Naranjo 1

Tamaño: px
Comenzar la demostración a partir de la página:

Download "LENGUAJE VHDL. Ing. Wilmer Naranjo 1"

Transcripción

1 LENGUAJE VHDL Ing. Wilmer Naranjo 1

2 DESCRIPCIÓN EN VHDL Al inicio de los 80 s diversos grupos de investigadores empiezan a crear y desarrollar los llamados "lenguajes de descripción de hardware" cada uno con sus peculiaridades. Empresas como IBM con su IDL, el TI - HDL de Texas Instruments, ZEUS de General Electric, etc., Alrededor de 1981 el Departamento de Defensa de los Estados Unidos desarrolla un proyecto llamado VHSIC (Very High Speed Integrated Circuit ) su objetivo era rentabilizar las inversiones en hardware haciendo más sencillo su mantenimiento. Se pretendía con ello resolver el problema de modificar el hardware diseñado en un proyecto para utilizarlo en otro, lo que no era posible hasta entonces porque no existía una herramienta adecuada que armonizase y normalizase dicha tarea, era el momento de los HDL's Ing. Wilmer Naranjo 2

3 DESCRIPCIÓN EN VHDL Constituida al menos por 3 elementos principales: o Biblioteca (librerías) o Entidades o Arquitecturas El diseñador generalmente realiza la descripción de las entidades y arquitectura empleando elementos almacenados en las bibliotecas. Éstas a su vez son almacenadas en la biblioteca de trabajo, luego de ser compiladas. Ing. Wilmer Naranjo 3

4 BIBLIOTECA Es un lugar donde el compilador VHDL almacena información referente a un proyecto de diseño particular, incluyendo documentos intermedios que son usados en el análisis, simulación y la síntesis. Una biblioteca estándar muy usada es IEEE. Para especificar la biblioteca se usa "library" y en la primera línea del programa de escribirse lo siguiente: Library ieee; Ing. Wilmer Naranjo 4

5 OBJETO DE DATOS Se proporcionan tres tipos de objetos de datos: Señales Constantes Variables Las señales: representan las señales lógicas de un circuito. Las constantes y variables son útiles para describir el circuito. Ing. Wilmer Naranjo 5

6 NOMBRE DE OBJETO DE DATOS Cualquier carácter Alfanumérico Empieza con una letra (ingles) No puede ser una palabra reservada de VHDL No puede empezar con _ No puede tener dos _ seguidos Ejemplo X1, Puerto_1, UNO, estado, a, F VHDL no distingue entre mayúscula y minúscula. Ing. Wilmer Naranjo 6

7 VALORES DE OBJETO DE DATOS Se utiliza objeto de datos SIGNAL para representar señales lógicas en un circuito. El Valor objeto SIGNAL individual se especifica utilizando apostrofe como en 0 o en 1 para un número binario. El Valor objeto SIGNAL multibits se especifica utilizando comillas como en 0101 o Las comillas también se emplean para indicar un número binario 1001 representa los cuatro valores SIGNAL 1, 0, 0, 1 Los valores CONSTANT o VARIABLE se especifican de la misma manera que los objetos de datos SIGNAL. Ing. Wilmer Naranjo 7

8 OBJETO DE DATOS SIGNAL Los objetos de datos SIGNAL representan señales lógicas, o cables en un circuito. Ejemplo de declaración: SIGNAL signal_name: type_name La variable type_name determina los valores legales que la señal puede asumir y sus usos en VHDL. Ejemplos: BIT, BIT VECTOR, STD_LOGIC, STD_LOGIC VECTOR, STD_ULOGIC, SIGNED, UNSIGNED, INTEGER, ENUMERATION y BOOLEAN. Ing. Wilmer Naranjo 8

9 TIPO BIT y BIT_VECTOR Estos tipos están predefinidos en los estandares de VHDL del IEEE 1076 y BIT puede tener los valores 1 o 0 BIT_VECTOR es un arreglo lineal de objetos tipo bit. Ejemplo: SIGNAL X1: BIT SIGNAL C: BIT_VECTOR (1 TO 4) SIGNAL D: BIT_VECTOR (7 DOWNTO 0) C<= C(1)=1, C(2)=0, C(3)=1, C(4)=0 D<= D(7)=1, D(6)=0, D(5)=0, D(4)=1 --D(3)=0, D(2)=1, D(1)=0, D(0)=0 Ing. Wilmer Naranjo 9

10 TIPO STDLOGIC y STDLOGIC _VECTOR Se añadio en el estandar de VHDL del IEEE Ofrece mayor versatilidad que el tipo BIT. Para utilizarlo debemos de incluir las siguientes instrucciones LIBRARY ieee USE ieee.std_logic_1164.all Los siguientes valores son legales para STD_LOGIC: un 0 ; un 1 Z alta impedancia ; estado opcional (don t care) L un 0 débil ;H ---- un 1 débil U no inicializado ;X ---- desconocido (un 0 ó un 1 fuerte) W desconocido (un 0, ó un 1 débiles) SIGNAL X1, x2, x3 :STD_LOGIC SIGNAL C : STD_LOGIC_VECTOR (1 TO 4) SIGNAL Y, Z : STD_LOGIC_VECTOR (7 DOWNTO 0) Ing. Wilmer Naranjo 10

11 TIPO STD_ULOGIC Muy similares a las señales de tipo STD_LOGIC. La diferencia esta en que STD_ULOGIC se relaciona con el concepto de Función de Resolución. La Función de Resolución se utiliza para determinar que valor debe tomar una señal si hay dos fuentes para la misma. Por ejemplo dos buffers triestados podrían tener sus salidas conectadas a una señal x, en algún momento uno de ellos podría producir un valor de salida Z y el otro un valor 1. Para determinar que el valor de X debe de ser 1 en este caso se emplea la función resolución Ing. Wilmer Naranjo 11

12 TIPO SIGNED Y UNSIGNED Los paquetes std_logic_signed y std_logic_unsigned recurren a otro paquete llamado std_logic_arith, que define el tipo de circuito que utilizará operadores aritméticos como +. El tipo signed maneja números con signo (complemento a 2) El tipo unsigned emplea números sin signo. Ing. Wilmer Naranjo 12

13 TIPO INTEGER Una señal INTEGER tiene 32 bit, cuyo rango [-(2 31-1) a (2 31-1)]. El rango se puede personalizarutilizango RANGE. SIGNAL X: INTEGER RABGE -127 TO 127. TIPO BOOLEAN Tiene los valores TRUE o FALSE, que equivale a 1 y a 0. TIPO ENUMERATION Tiene valores que el usuario especifica. TYPE enumerated_type_name IS (name{, name}); TYPE State_type IS (estadoa, estadob, estadoc); SIGNAL y: State_type; Los valores legales para y son estadoa, estadob y estadoc Ing. Wilmer Naranjo 13

14 OBJETO DE DATOS CONSTANTES Es aquel cuyo dato no puede cambiar. CONSTANT constant_nombre : type_name:=constant_valor; CONSTANT zero : std_logic_vector (3 downto 0):= 0000 ; OBJETOS DE DATOS VARIABLES Se utiliza para almacenar los resultados de los cálculos VARIABLE variable_name: type_name Ing. Wilmer Naranjo 14

15 Operadores Cinco categorías de operadores: Aritméticos Relacionales Lógicos Desplazamiento Otros Ing. Wilmer Naranjo 15

16 Operadores Aritméticos OPERACIÓN OPERADOR Igual = Diferente /= Mayor > Mayor o igual >= Menor < Menor o igual <= Ing. Wilmer Naranjo 16

17 Operadores lógicos y de desplazamiento OPERACIÓN OPERADOR Y and Y Negado nand O or O Negado nor O-exclusiva xor O-exclusiva negada xnor Negación not Desplazamiento lógico a la izquierda sll Desplazamiento lógico a la derecha srl Desplazamiento aritmético a la izquierda sla Desplazamiento aritmético a la derecha sra Desplazamiento circular a la izquierda rol Desplazamiento circular a la derecha ror Ing. Wilmer Naranjo 17

18 Operadores de adición OPERACIÓN OPERADOR Signo positivo + Signo negativo - Concatenación & Operadores Otros Operadores de multiplicar: *, /, MOD, REM Operadores miscellaneous: **, ABS Ing. Wilmer Naranjo 18

19 TIPO Integer Natural Positive Real Boolean Bit Std_logic Tipos de datos RANGO MAXINT MAXINT 0 MAXINT 1 MAXINT MAXREAL MAXREAL TRUE,FALSE 0,1 0, 1, Z (tercer estado), - (don t care), L, H,, U, X, W DESCRIPCIÓN Números enteros Números naturales Números positivos Números reales Números booleanos Números binarios Estándar Lógico Bit_vector Character String Conjunto de bits Conjunto de caracteres Cadena de bits Caracteres Cadena de caracteres Ing. Wilmer Naranjo 19

20 ENTIDAD DE DISEÑO DE VHDL Un circuito o subcircuito descrito con código VHDL se llama entidad de diseño o simplemente entidad. Tiene dos partes principales que son: Declaración de entidad ENTITY Especifica las señales de entrada y salida del circuito digital. Arquitectura. Proporciona los detalles del circuito. ENTIDAD DECLARACION DE ENTIDAD ARQUITECTURA Ing. Wilmer Naranjo 20

21 DECLARACION ENTITY Las señales de E/S del circuito digital se especifica utilizando la declaración ENTITY. PORT indica la señal de E/S en modo: Modo in: Un puerto es de modo in si la información correspondiente al mismo, entra a la entidad. Modo out: Un puerto es de modo out si la información fluye hacia fuera de la entidad. Modo buffer: Este modo es similar al modo out, pero además, permite la realimentación y no es bidireccional. Modo inout: Es usado para señales bidireccionales. Ing. Wilmer Naranjo 21

22 ENTIDAD Tiene la misión de modelar la interfaz de un circuito o sistema con el exterior, a través de las entradas y salidas. A B E C Entity EJEMPLO is Port (A,B: in bit; C : out bit); End EJEMPLO A B E C Entity EJEMPLO1 is Port (A,B: in bit_vector (1 to 4); C : out bit_vector (1 to 4)); End EJEMPLO1 Ing. Wilmer Naranjo 22

23 ARQUITECTURA ARCHITECTURE Provee los detalles del circuitopara una entidad. Consta de 2 partes principales: La región declarativa Precede a la palabra reservada BEGIN. Se utiliza para declarar señales, tipos definidos por el usuario y constantes, componentes y atributos. La región del cuerpo de arquitectura Se encuentra después de BEGIN y se detalla la programación en VHDL del circuito digital Ing. Wilmer Naranjo 23

24 PAQUETE Un paquete en VHDL sirve como un depósito. Se utiliza para almacenar código de VHDL de uso general. Un paquete tiene dos partes: Declaración de Paquete. Se declara: LIBRARY library_name; USE library_name.package_name_all; PACKAGE package_name IS [TYPEdeclarartions] [SIGNAL declarartions] [COMPONENT declarartions] END package_name Cuerpo de Paquete. Opcional para definir funciones de VHDL Ing. Wilmer Naranjo 24

25 Crear paquetes La biblioteca IEEE es solo de lectura, tipo global, no puede interactuar ni modificar. Cada diseñador puede crear su propio paquete dando la posibilidad de utilizarlos en otros diseños o modificarlos, para esto el compilador VHDL genera automáticamente una biblioteca llamada work. En la biblioteca work se almacenan las entidades y arquitecturas de diseño. Una vez creado el nuevo paquete se lo puede usar en otro proyecto declarándolo de la siguiente manera: Use work.nombre_del_paquete.all ; Ing. Wilmer Naranjo 25

26 SUBCIRCUITOS Una entidad de VHDL definida en un archivo de código fuente puede usarse como subcircuito en otro archivo de código fuente. El subcircuito en VHDL se llama COMPONENTE. Un componente se declara en la arquitectura o en la declaración de paquete. La sintaxis de la declaración del componente es similar a la declaración de la entidad. Una vez declarado, este puede instanciarse como un subcircuito. COMPONENT component_name [GENERIC ( parameter_name: integer:= default_value{; parameter_name: integer:= default_value});] PORT ([SIGNAL] signal_name {, signal_name}:[mode] type_name{; [SIGNAL] signal_name {, signal_name}:[mode] type_name}); instance_name : component_name PORT MAP( formal_name => actual_name {, formal_name => actual_name }); Ing. Wilmer Naranjo 26

27 ARQUITECTURA Es la encargada de la descripción del funcionamiento de un circuito. Describe el funcionamiento interno de las entidades. Pueden existir varias arquitecturas para una misma entidad. Niveles de arquitectura: - Nivel Algorítmico - Nivel RTL - Nivel Lógico Ing. Wilmer Naranjo 27

28 Nivel Algorítmico, funcional o de comportamiento Es el nivel con mayor grado de abstracción. El diseñador sólo describe el comportamiento del sistema sin preocuparse de las señales o componentes internos del mismo. Se suele hablar de éste nivel como: Alto Nivel. Ing. Wilmer Naranjo 28

29 Ejemplo: Entity decodificador is Port (e0,e1,en: in bit; s0,s1,s2,s3 : out bit); End decodificador Ing. Wilmer Naranjo 29

30 Nivel Algorítmico architecture algoritmica of decodificador is begin process (e0,e1,en) begin if en= 0 then s0<= 0 ; s1<= 0 ; s2<= 0 ; s3<= 0 ; elsif e1= 0 and e0= 0 then s0<= 1 ; elsif e1= 0 and e0= 1 then s1<= 1 ; elsif e1= 1 and e0= 0 then s2<= 1 ; elsif e1= 1 and e0= 1 then s3<= 1 ; end if; end process; end algoritmica; Ing. Wilmer Naranjo 30

31 Nivel RTL (Register Transfer Level) o de flujo de datos (Data Flow) Proporciona un cierto grado de abstracción con respecto al hardware. El diseñador describe el sistema mediante diagramas de transferencias entre registros, tablas de verdad o ecuaciones lógicas. Ing. Wilmer Naranjo 31

32 Nivel RTL architecture RTL of decodificador is signal e0n, e1n: bit; begin e0n<=not(e0); e1n<=not(e1); s0<= e0n and e1n and en; s1<= e0 and e1n and en; s2<= e0n and e1 and en; s3<= e0 and e1 and en; end RTL; Ing. Wilmer Naranjo 32

33 Nivel Lógico o Estructural Utiliza los recursos que el lenguaje proporciona para describir las interconexiones entre los distintos componentes de un circuito. No se realiza una descripción del comportamiento sino de la estructura del mismo. Ing. Wilmer Naranjo 33

34 Nivel Lógico architecture estructural of decodificador is signal e0n, e1n: bit; component inversor is port (e:in bit; s:out bit); end component; component puerta_and is port (e0, e1,en:in bit; s:out bit); end component; Begin N0:inversor port map (e0, e0n); N1:inversor port map (e1, e1n); A0:puerta_and port map (e0n, e1n, en,,s0); A1:puerta_and port map (e0, e1n, en,,s1); A2:puerta_and port map (e0n, e1, en,,s2); A3:puerta_and port map (e0, e1, en,,s3); end estructural; Ing. Wilmer Naranjo 34

35 INSTRUCCIONES DE ASIGNACION CONCURRENTE Asignación de señales simples Signal_name <= expression; Asignación de señales seleccionada Whit expression SELECT signal_name <= expression WHEN constant_value{, expression WHEN constant_value}; Asignación de señal condicional signal_name <= expression WHEN logic_expression ELSE {expression WHEN logic_expression ELSE} expression; Instrucciones Generate Ing. Wilmer Naranjo 35

36 COMPARADOR ASIGNACION Entity comparador is Port (A,B: in bit_vector (0 to 3); A C : out bit ); B End comparador architecture RTL of comparador is BEGIN C <= NOT (A(0) XOR B(0)) AND NOT (A(1) XOR B(1)) AND NOT (A(2) XOR B(2)) AND NOT (A(3) XOR B(3)); end RTL; E C Ing. Wilmer Naranjo 36

37 SELECTOR SELECCION Entity selector is Port (A,B: in bit_vector (1 to 4); S: in bit; C : out bit_vector (1 to 4)); End selector architecture RTL of selector is begin WITH S SELECT C <= A WHEN 0, B WHEN OTHERS; end RTL; A B E S C Ing. Wilmer Naranjo 37

38 SELECTOR 4 Entity selector4 is Port (A,B,C,D: in bit_vector (1 to 4); S: in bit_vector (0 to 1); F : out bit_vector (1 to 4)); End selector4 architecture RTL of selector4 is begin WITH S SELECT F <= A WHEN 00, B WHEN 01, C WHEN 10, D WHEN OTHERS; end RTL; A B C D E S F Ing. Wilmer Naranjo 38

39 COMPARADOR CONDICIONAL Entity comparador is Port (A,B: in bit_vector (1 to 4); C : out bit ); End comparador architecture RTL of comparador is A B E C BEGIN C <= 1 when (a = b) else 0 ; end RTL; Ing. Wilmer Naranjo 39

40 SELECTOR Entity selector2 is Port (A,B: in bit_vector (1 to 4); S: in bit; C : out bit_vector (1 to 4)); End selector2 architecture RTL of selector2 is A B E S C begin C <= A WHEN S= 0 ELSE B; end RTL; Ing. Wilmer Naranjo 40

41 Asignación de señal recibida 2 Otra forma: with -select -when -others Ejemplo: Whit m select T <= 1 when ; 0 when ; z when others; Las barras verticales ( ) tienen el mismo significado que la operación lógica or. Ing. Wilmer Naranjo 41

42 PROCESO Es el mecanismo fundamental utilizado para describir el comportamiento de una entidad. La arquitectura de una entidad descrita a nivel de comportamiento incluye una o más procesos. Es en sí una cláusula concurrente, pero las sentencias incluidas en él son secuenciales. La declaración de los procesos comienza con el nombre de éste, seguida por dos puntos (:) y luego, la palabra clave PROCESS. Después de la palabra clave es necesario detallar una lista de señales, conocida como lista de sensibilidades. El objetivo de esta lista es activar el proceso, siempre y cuando se active o cambie una de las señales contenidas en dicha lista. Ing. Wilmer Naranjo 42

43 Sintaxis del proceso nombre_del_proceso: process (señal1, señal2,,señaln) Declaración de tipos Declaración de variables Declaración de constantes Begin Declaraciones secuenciales... Declaraciones secuenciales end process nombre_del_proceso; Ing. Wilmer Naranjo 43

44 Declaración if - then La declaración if es una estructura de asignación condicional, que permite la selección de instrucciones que serán ejecutadas, dependiendo de una o más condiciones. Ejemplo: If a=b then E<= 1 ; end if; Ejemplo: Declaración if then-else If a=b then else end if; E<= 1 ; E<= 0 ; Ing. Wilmer Naranjo 44

45 Declaración if then-elsif-else Usada cuando es necesario varias estructuras if anidadas (una en el interior de otras). Ejemplo: If [A[3]= 1 ] then Y <= 111 ; elsif [A[2]= 1 ] then Y <= 110 ; elsif [A[1]= 1 ] then Y <= 101 ; elsif [A[0]= 1 ] then Y <= 100 ; else Y <= 000 ; end if; Ing. Wilmer Naranjo 45

46 Declaración Case Es muy útil cuando se disponen de varias alternativas a partir del valor de una señal, o una expresión y se necesita seleccionar sólo una. Ejemplo: Case A is when 001 => Y[0] <= '0'; when 010 => Y[1] <= '0'; when 011 => Y[2] <= '0'; when 111 => Y[3] <= '0'; end case; Ing. Wilmer Naranjo 46

47 Case-when-others Ejemplo: Case A is when 001 => Y[0] <= '0'; when 010 => Y[1] <= '0'; when 011 => Y[2] <= '0'; when 101 => Y[3] <= '0'; when others =>Y<= 1111 ; end case; Ing. Wilmer Naranjo 47

48 LOOP VHDL provee dos instrucciones de ciclo: 1.- For --- loop 2.- While --- loop Estas instrucciones sirven para repetir una o mas instrucciones de asignación secuencial. Ing. Wilmer Naranjo 48

Tema 3 - Modelado con HDL a nivel RTL

Tema 3 - Modelado con HDL a nivel RTL - Modelado con HDL a nivel RTL Eduardo Rodríguez Martínez Departamento de Electrónica División de Ciencias Básicas e Ingeniería Universidad Autónoma Metropolitana Unidad Azcapotzalco Email: erm@correo.azc.uam.mx

Más detalles

Objetos de VHDL. Un objeto en VHDL es un elemento que contiene un valor de tipo específico de dato

Objetos de VHDL. Un objeto en VHDL es un elemento que contiene un valor de tipo específico de dato Objetos de VHDL Un objeto en VHDL es un elemento que contiene un valor de tipo específico de dato Objetos que se pueden manipular en VHDL y sus tipos -3 clases principales de objetos: SEÑALES: similares

Más detalles

Objetos de VHDL.! Un objeto en VHDL es un elemento que contiene. un valor de tipo específico de dato

Objetos de VHDL.! Un objeto en VHDL es un elemento que contiene. un valor de tipo específico de dato Objetos de VHDL! Un objeto en VHDL es un elemento que contiene un valor de tipo específico de dato Objetos que se pueden manipular en VHDL y sus tipos -3 clases principales de objetos: SEÑALES: similares

Más detalles

VHDL. Lenguaje de descripción hardware Estructura Básica de diseño

VHDL. Lenguaje de descripción hardware Estructura Básica de diseño VHDL. Lenguaje de descripción hardware Estructura Básica de diseño 2007 A.G.O. All Rights Reserved Estructura de un diseño en VHDL LIBRARY declaraciones VHDL estructura básica ENTITY caja negra ARCHITECTURE

Más detalles

Julio. [ Programación en VHDL ] Guía rápida. [ h t t p : / / w w w. o p e n b o x e r m b. c o m / a s i g n a t u r a s / d s d.

Julio. [ Programación en VHDL ] Guía rápida. [ h t t p : / / w w w. o p e n b o x e r m b. c o m / a s i g n a t u r a s / d s d. Julio 09 [ Programación en VHDL ] Guía rápida [ h t t p : / / w w w. o p e n b o x e r. 2 6 0 m b. c o m / a s i g n a t u r a s / d s d. h t m l ] Programación en VHDL Guia rapida [ INDICE ] I. Programación

Más detalles

Tema 2. Funciones Lógicas. Algebra de Conmutación. Minimización de funciones Lógicas. Introducción al VHDL.

Tema 2. Funciones Lógicas. Algebra de Conmutación. Minimización de funciones Lógicas. Introducción al VHDL. Tema 2. Funciones Lógicas Algebra de Conmutación. Minimización de funciones Lógicas. Introducción al VHDL. Introducción al VHDL Definición de las estructuras básicas. Entidades: genéricos y puertos. Tipos

Más detalles

Diseño Lógico I Facultad de Ciencias Exactas y Tecnología UNT. LENGUAJES DE DESCRIPCIÓN DE HARDWARE

Diseño Lógico I Facultad de Ciencias Exactas y Tecnología UNT. LENGUAJES DE DESCRIPCIÓN DE HARDWARE LENGUAJES DE DESCRIPCIÓN DE HARDWARE METODOS PARA DESCRIBIR CIRCUITOS LÓGICOS Descripción verbal Tablas de verdad Diagrama esquemático Expresiones del álgebra booleana Diagrama de tiempos Lenguajes de

Más detalles

PRÁCTICA: LENGUAJE VHDL

PRÁCTICA: LENGUAJE VHDL PRÁCTICA: LENGUAJE Introducción Los lenguajes permiten manejar mejor grandes tamaños Los lenguajes son más flexibles que las tablas Los lenguajes son légibles por las máquinas más fácilmente que los gráficos

Más detalles

Electrónica Digital Departamento de Electrónica VHDL. Bioingeniería Facultad de Ingeniería - UNER

Electrónica Digital Departamento de Electrónica VHDL. Bioingeniería Facultad de Ingeniería - UNER Electrónica Digital Departamento de Electrónica VHDL Bioingeniería Facultad de Ingeniería - UNER VHDL VHSIC Hardware Design Language VHSIC Very High Speed Integrated Circuits Verilog Handel C Celóxica

Más detalles

Planificaciones Sistemas Digitales. Docente responsable: ALVAREZ NICOLAS. 1 de 5

Planificaciones Sistemas Digitales. Docente responsable: ALVAREZ NICOLAS. 1 de 5 Planificaciones 8641 - Sistemas Digitales Docente responsable: ALVAREZ NICOLAS 1 de 5 OBJETIVOS El objetivo de la materia es aprender a diseñar arquitecturas digitales utilizando como herramienta los lenguajes

Más detalles

PARTE I. TÉCNICAS DE DISEÑO ELECTRÓNICO MEDIANTE LENGUAJES DE DESCRIPCIÓN HARDWARE

PARTE I. TÉCNICAS DE DISEÑO ELECTRÓNICO MEDIANTE LENGUAJES DE DESCRIPCIÓN HARDWARE PARTE I. TÉCNICAS DE DISEÑO ELECTRÓNICO MEDIANTE LENGUAJES DE DESCRIPCIÓN HARDWARE TEMA 3. Modelos de sistemas con VHDL Curso 05/06 1 TEMA 3. Modelos de sistemas digitales 3.1 Sistemas combinacionales

Más detalles

VHDL. Carlos Andrés Luna Vázquez. Lección 5. Sentencias concurrentes

VHDL. Carlos Andrés Luna Vázquez. Lección 5. Sentencias concurrentes VHDL Carlos Andrés Luna Vázquez Lección 5 Sentencias concurrentes Índice Introducción Niveles de abstracción del modelado con HDL Estilos descriptivos del modelado con HDL Ventajas y limitaciones de los

Más detalles

Sistemas Electrónicos Digitales Curso de adaptación al Grado

Sistemas Electrónicos Digitales Curso de adaptación al Grado Práctica Práctica 2 Sistemas Electrónicos Digitales Curso de adaptación al Grado Sistemas combinacionales con VHDL Universidad de Alicante Ángel Grediaga 2 Índice INTRODUCCIÓN... 3 2 CIRCUITOS COMBINACIONALES...

Más detalles

MAQUINA DE ESTADO FINITO (FSM) Autómata finito

MAQUINA DE ESTADO FINITO (FSM) Autómata finito MAQUINA DE ESTADO FINITO (FSM) Autómata finito Modelo de Mealy E Lógica del próximo estado Q t+1 M E M O R I A Q t Lógica de salida S Ck Q t+1 = f (E, Q t ) S = g (E, Q t ) Modelo de Moore E Lógica del

Más detalles

ELEMENTOS Y TIPOS DE DATOS

ELEMENTOS Y TIPOS DE DATOS 1 ELEMENTOS Y TIPOS DE DATOS ELEMENTOS Y TIPOS DE DATOS Elementos Tipos de datos Librerías Std_logic y Std_logic_vector 2 ELEMENTOS Y TIPOS DE DATOS ELEMENTOS Elementos del VHDL: Comentarios Palabras reservadas.

Más detalles

Introducción a PL/SQL

Introducción a PL/SQL Introducción a PL/SQL Grupo de Ingeniería del Software y Bases de Datos Departamento de Lenguajes y Sistemas Informáticos Universidad de Sevilla noviembre 2011 Objetivos de este tema Conocer PL/SQL. PL/SQL

Más detalles

VI. Especificación del Comportamiento

VI. Especificación del Comportamiento VI. Especificación del Comportamiento 1 Introducción El objetivo de un sistema electrónico es transformar datos de entradas en resultados como salidas, esta clase de actividad es conocida como Comportamiento

Más detalles

V. Elementos de la Arquitectura

V. Elementos de la Arquitectura V. Elementos de la Arquitectura 1 Tipos de Datos Todos los sistemas digitales manejan la información como bits o vectores de bits. Sin embargo no es posible ni conveniente usar sólo estos tipos para todos

Más detalles

VHDL. Carlos Andrés Luna Vázquez. Lección 10 Problemas

VHDL. Carlos Andrés Luna Vázquez. Lección 10 Problemas Carlos Andrés Luna Vázquez Lección 10 Problemas 1 Índice Introducción Niveles de abstracción del modelado con HDL Estilos descriptivos del modelado con HDL Ventajas y limitaciones de los HDLs El lenguaje

Más detalles

+ Máquinas de Estado Finitas

+ Máquinas de Estado Finitas + Máquinas de Estado Finitas Las máquinas de estado pueden ser: SÍNCRONAS: Necesitan de la intervención de un pulso de reloj. Si la entrada participa también en la salida se denomina Máquina de estado

Más detalles

DESCRIPCIÓN DE CIRCUITOS DIGITALES

DESCRIPCIÓN DE CIRCUITOS DIGITALES DESCRIPCIÓN DE CIRCUITOS DIGITALES Circuitos combinacionales Circuitos secuenciales Organización del diseño. Diseño genérico Operaciones iterativas Autores: Luis Entrena, Celia López, Mario García, Enrique

Más detalles

5. Decodificadores. Salida _1= A A A A = m = M ... Electrónica Digital. Tema

5. Decodificadores. Salida _1= A A A A = m = M ... Electrónica Digital. Tema 5. Decodificadores La función de un decodificador es la siguiente: ante una combinación concreta binaria de entrada (correspondiente a una combinación de algún código binario), activar una salida correspondiente

Más detalles

Ejemplo básico de descripción VHDL

Ejemplo básico de descripción VHDL Ejemplo básico de descripción VHDL Describir en VHDL un circuito que multiplexe dos líneas (a y b) de un bit, a una sola línea (salida) también de un bit; la señal selec sirve para indicar que a la salida

Más detalles

Manual de turbo pascal

Manual de turbo pascal Universidad Nacional Experimental De Los Llanos Occidentales Ezequiel Zamora UNELLEZ-Barinas Manual de turbo pascal Bachilleres: Martinez Ninibeth C.I:20.867.002 Mora Yaco C.I:17.205.073 Estructura de

Más detalles

4. SUMADORES EN BINARIO PURO (I)

4. SUMADORES EN BINARIO PURO (I) TEMA 3: SISTEMAS ARITMÉTICOS Introducción y objetivos (3). Representación y codificación de la información (4-7) 2. Sistemas numéricos posicionales. Binario, hexadecimal, octal, y BCD. (8-33) 3. Números

Más detalles

DESCRIPCIÓN DE CIRCUITOS DIGITALES

DESCRIPCIÓN DE CIRCUITOS DIGITALES DESCRIPCIÓN DE CIRCUITOS DIGITALES Circuitos combinacionales Circuitos secuenciales Organización del diseño. Diseño genérico Operaciones iterativas Autores: Celia López, Luis Entrena, Mario García, Enrique

Más detalles

EL LENGUAJE VHDL CONCEPTOS BÁSICOS

EL LENGUAJE VHDL CONCEPTOS BÁSICOS EL LENGUAJE VHDL CONCEPTOS BÁSICOS Introducción Entidades y arquitecturas Sentencias y procesos Objetos Tipos de datos y operadores Autores: Luis Entrena Arrontes, Celia López, Mario García, Enrique San

Más detalles

VHDL. Lenguaje de descripción hardware Tipos de datos

VHDL. Lenguaje de descripción hardware Tipos de datos VHDL. Lenguaje de descripción hardware Tipos de datos 2007 A.G.O. All Rights Reserved Tipos de objetos y datos VHDL predefine un conjunto relativamente limitado de tipos de datos, pero dispone de gran

Más detalles

FUNDAMENTOS DE INFORMÁTICA

FUNDAMENTOS DE INFORMÁTICA FUNDAMENTOS DE INFORMÁTICA Tema 2 Expresiones, operadores y estructuras de control Departamento de Ingeniería de Sistemas y Automática Universidad de Vigo Fundamentos de Informática. Departamento de Ingeniería

Más detalles

Lección 2 Introducción al lenguaje C

Lección 2 Introducción al lenguaje C Lección Introducción al lenguaje C Decimal Binario Hexadecimal A B C D E F Octal Equivalencia entre decimal, binario, hexadecimal y octal. Código ASCII (American Standard Code for Information Interchange)

Más detalles

Decodificadores/Demultiplexores. Grupo 9 Javier de Gregorio Menezo Laro de la Fuente Lastra Raúl Fernández Díaz

Decodificadores/Demultiplexores. Grupo 9 Javier de Gregorio Menezo Laro de la Fuente Lastra Raúl Fernández Díaz Decodificadores/Demultiplexores Grupo 9 Javier de Gregorio Menezo Laro de la Fuente Lastra Raúl Fernández Díaz Decodificadores Un decodificador (DEC) es un circuito combinacional que convierte un código

Más detalles

3.1 Introducción a VHDL

3.1 Introducción a VHDL Capítulo 3 Implementación en VHDL A continuación se va a explicar brevemente el funcionamiento de VHDL y las componentes de programación para poder entender mejor el programa. Una vez explicado esto, se

Más detalles

Práctica I Modelado y simulación de una máquina expendedora de refrescos

Práctica I Modelado y simulación de una máquina expendedora de refrescos Práctica I Modelado y simulación de una máquina expendedora de refrescos Departamento de Ingeniería Electrónica de Sistemas Informáticos y Automática 2 1.1. Objetivos. En esta práctica vamos a modelar

Más detalles

Informática General 2016 Cátedra: Valeria Drelichman, Pedro Paleo, Leonardo Nadel, Norma Morales

Informática General 2016 Cátedra: Valeria Drelichman, Pedro Paleo, Leonardo Nadel, Norma Morales UNA / AREA TRANSDEPARTAMENTAL DE ARTES MULTIMEDIALES Licenciatura en Artes Multimediales Informática General 2016 Cátedra: Valeria Drelichman, Pedro Paleo, Leonardo Nadel, Norma Morales JavaScript Algoritmo

Más detalles

Práctica 6. Diseño Lógico Digital mediante VHDL

Práctica 6. Diseño Lógico Digital mediante VHDL Práctica 6. Diseño Lógico Digital mediante VHDL 6.1. Objetivos Aprender a diseñar circuitos lógicos digitales mediante el uso de lenguajes de descripción de hardware como VHDL. 6.2. Introducción al VHDL

Más detalles

Tema 2 Descripción de VHDL (2/2)

Tema 2 Descripción de VHDL (2/2) TECNOLOGÍA DE COMPUTADORES Tema 2 Descripción de VHDL (2/2) Agustín Álvarez Marquina Tipos de sentencias en VHDL Sentencias concurrentes PROCESS Asignación de señal BLOCK Llamadas a procedimientos Llamadas

Más detalles

GUÍA PRÁCTICA DEL SOFTWARE ACTIVE-HDL.

GUÍA PRÁCTICA DEL SOFTWARE ACTIVE-HDL. 24/9/2013 ÁREA DE TECNOLOGÍA ELECTRÓNICA UBU GUÍA PRÁCTICA DEL SOFTWARE ACTIVE-HDL. Manual de Inicio Miguel Ángel Lozano Pérez Contenido 1.1 GUÍA DE SOFTWARE... 3 1.1.1 Primer paso: crear un diseño nuevo...

Más detalles

Asignatura: Tecnología de Computadores. Bloque 1: Introducción Tema 3: Introducción a los lenguajes de descripción de hardware

Asignatura: Tecnología de Computadores. Bloque 1: Introducción Tema 3: Introducción a los lenguajes de descripción de hardware Titulación: ió Grado en Ingeniería de Computadores Asignatura: Tecnología de Computadores Bloque 1: Introducción Tema 3: Introducción a los lenguajes de descripción de hardware Pablo Huerta Pellitero e

Más detalles

TEMA IV: SÍNTESIS HARDWARE

TEMA IV: SÍNTESIS HARDWARE TEMA IV: SÍNTES HARDWARE Especificaciones Formato intermedio Partición HW/SW LA SÍNTES HARDWARE ES LA TAREA DE PASAR DE UN DOMINIO DE ABSTRACCIÓN A OTRO COMPORTAMIENTO -> ESTRUCTURA Código Implementación

Más detalles

Unidad Didáctica 2. Elementos básicos del lenguaje Java Tipos, declaraciones, expresiones y asignaciones

Unidad Didáctica 2. Elementos básicos del lenguaje Java Tipos, declaraciones, expresiones y asignaciones Unidad Didáctica 2 Elementos básicos del lenguaje Java Tipos, declaraciones, expresiones y asignaciones Fundamentos de Programación Departamento de Lenguajes y Sistemas Informáticos Versión 1.0.3 Índice

Más detalles

Teoría de Diseño lógico

Teoría de Diseño lógico Teoría de Diseño lógico Diseño lógico EUI ULPGC Jose Torres 1 de 45 1. Dispositivos de lógica programable Son circuitos integrados cuyas funciones lógicas se pueden reprogramar, es decir, se puede modificar

Más detalles

Tema 3.- Predicados y sentencias condicionales

Tema 3.- Predicados y sentencias condicionales UNIVERSIDAD DE CÓRDOBA ESCUELA POLITÉCNICA SUPERIOR DE CÓRDOBA DEPARTAMENTO DE INFORMÁTICA Y ANÁLISIS NUMÉRICO PROGRAMACIÓN DECLARATIVA INGENIERÍA INFORMÁTICA ESPECIALIDAD DE COMPUTACIÓN CUARTO CURSO PRIMER

Más detalles

Practica No. 8 Introducción a las Máquinas de Estados. 1. En la figura 1 se muestra el comportamiento de un robot que evade obstáculos.

Practica No. 8 Introducción a las Máquinas de Estados. 1. En la figura 1 se muestra el comportamiento de un robot que evade obstáculos. Practica No. 8 Introducción a las Máquinas de Estados Objetivo: Familiarizar al alumno en el conocimiento de los algoritmos de las máquinas de estados. Desarrollo: Para cada uno de los siguientes apartados,

Más detalles

LABORATORIO DE CIRCUITOS DIGITALES (2005-II) QUINTA CLASE DE VHDL

LABORATORIO DE CIRCUITOS DIGITALES (2005-II) QUINTA CLASE DE VHDL LABORATORIO DE CIRCUITOS DIGITALES (2005-II) QUINTA CLASE DE VHDL MÁQUINAS DE ESTADO FINITAS (FSMs) Máquinas de Moore Máquinas de Mealy MÁQUINAS DE ESTADOS FINITAS (FSMs) Tipos: Máquina de Moore: Las salidas

Más detalles

^6+1 2^5+1 2^2+1 2^1+1 2^ ^6+1 2^0-65.

^6+1 2^5+1 2^2+1 2^1+1 2^ ^6+1 2^0-65. ELECTRÓNICA DIGITAL 23-I-2014 PREGUNTAS TEÓRICO PRÁCTICAS: 1. Determinar el valor decimal de los números expresados en Complemento a 2. (0.25 puntos). 10011001 10011000 01100111 1 2^6+1 2^5+1 2^2+1 2^1+1

Más detalles

Tema 2: Desarrollo de Algoritmos. E.E. de Algorítmica

Tema 2: Desarrollo de Algoritmos. E.E. de Algorítmica Tema 2: Desarrollo de Algoritmos E.E. de Algorítmica Temas a tratar Identificadores Variables Constantes Tipos de Datos Separadores Operadores Aritméticos Unarios Relacionales y Condicionales Nivel de

Más detalles

Curso de Programación Avanzada en C

Curso de Programación Avanzada en C Curso de Programación Avanzada en C Copyright, 1996 Universidad Sim on Bol ivar 1 Prof. Mariela J. Curiel Contenido del Curso Conceptos BásicosB Estructuras de Control Arreglos Otros tipos de datos derivados

Más detalles

4. Operadores Operador asignación

4. Operadores Operador asignación Programación orientada a objetos con Java 43 4. Operadores Objetivos: a) Describir los operadores (aritméticos, incrementales, de relación, lógicos y de asignación) y los tipos de dato primitivos sobre

Más detalles

Tema 2. El lenguaje JAVA

Tema 2. El lenguaje JAVA Tema 2. El lenguaje JAVA Nomenclatura habitual Variables Tipos de variables Tipos primitivos Referencias Arrays Operadores Operadores de Java Precedencia de operadores Sentencias de control Sentencias

Más detalles

Desde los programas más simples escritos en un lenguaje de programación suelen realizar tres tareas en forma secuencial.

Desde los programas más simples escritos en un lenguaje de programación suelen realizar tres tareas en forma secuencial. Tipos de Datos Desde los programas más simples escritos en un lenguaje de programación suelen realizar tres tareas en forma secuencial. Entrada de datos Procesamientos de datos Salida de resultados Los

Más detalles

INGENIERÍA DE COMPUTADORES 3. Solución al Trabajo Práctico - Junio de 2013

INGENIERÍA DE COMPUTADORES 3. Solución al Trabajo Práctico - Junio de 2013 Solución al Trabajo Práctico - Junio de 2013 EJERCICIO 1 En la Figura 1.1 se muestra el símbolo lógico de un circuito digital cuya función es encender una luz de aviso de un coche. Este circuito enciende

Más detalles

Carlos Montenegro. Programación Orientada a Objetos Proyecto Curricular de Ingeniería de Sistemas

Carlos Montenegro. Programación Orientada a Objetos Proyecto Curricular de Ingeniería de Sistemas 2 - Introducción al lenguaje Java, identificadores y comentarios. Carlos Montenegro Programación Orientada a Objetos Proyecto Curricular de Ingeniería de Sistemas 1. Introducción: Java tiene como todos

Más detalles

Simulación avanzada con TestBench en HDL. Ing. Andrés Miguel Airabella. Ing. Facundo Aguilera.

Simulación avanzada con TestBench en HDL. Ing. Andrés Miguel Airabella. Ing. Facundo Aguilera. Simulación avanzada con Ing. Andrés Miguel Airabella. Ing. Facundo Aguilera. Desc. del Problema Descripción HDL Synthesis Place and Route / Fit 2 - Generalidades - Generación de Estímulos Agenda - Asignaciones

Más detalles

Elementos de un programa en C

Elementos de un programa en C Elementos de un programa en C Un programa en C consta de uno o más archivos. Un archivo es traducido en diferentes fases. La primera fase es el preprocesado, que realiza la inclusión de archivos y la sustitución

Más detalles

Centro Asociado Palma de Mallorca. Antonio Rivero Cuesta

Centro Asociado Palma de Mallorca. Antonio Rivero Cuesta Centro Asociado Palma de Mallorca Antonio Rivero Cuesta La Sintaxis de Java I... 5 Tipos de datos... 6 Tipos de datos simples... 7 Operadores... 11 Operadores Aritméticos... 12 Operadores relacionales...

Más detalles

INTRODUCCIóN A LA PROGRAMACIóN APUNTES DE JAVA APUNTES DE JAVA

INTRODUCCIóN A LA PROGRAMACIóN APUNTES DE JAVA APUNTES DE JAVA APUNTES DE JAVA FUNCIONAMIENTO DE UN PROGRAMA Assembler Ensamblador Ejecuta Programador Programa fuente BASIC Interprete Ejecuta C, C++, Pascal Compilador Compila Ejecuta Programa fuente Programa Objeto

Más detalles

VIII. Jerarquías de diseño en VHDL

VIII. Jerarquías de diseño en VHDL VIII. Jerarquías de diseño en VHDL 1 Introducción La descripción funcional describe al sistema en términos de sus operaciones. La descripción estructural especifica cómo el sistema está hecho, cuales son

Más detalles

CIRCUITOS DIGITALES UNIVERSIDAD CATOLICA DE CUENCA EXT. CAÑAR CATEDRATICO: ING. CRISTIAN FLORES ALUMNO: TITO GUASCO FECHA:

CIRCUITOS DIGITALES UNIVERSIDAD CATOLICA DE CUENCA EXT. CAÑAR CATEDRATICO: ING. CRISTIAN FLORES ALUMNO: TITO GUASCO FECHA: CIRCUITOS DIGITALES 2011 UNIVERSIDAD CATOLICA DE CUENCA EXT. CAÑAR CATEDRATICO: ALUMNO: FECHA: ING. CRISTIAN FLORES TITO GUASCO 11-10-2011 2 CIRCUITOS DIGITALES TEMA: COMPUERTAS LOGICAS Las computadoras

Más detalles

LENGUAJE. Tema 2 Elementos de un programa

LENGUAJE. Tema 2 Elementos de un programa LENGUAJE Tema 2 Elementos de un programa ELEMENTOS DE UN PROGRAMA Comentarios. Identificadores. Constantes. Variables. Operadores. Sentencias o instrucciones. COMENTARIOS Los comentarios en C pueden ocupar

Más detalles

9. Dibujar el diagrama de tiempos de las siguientes asignaciones de señales.

9. Dibujar el diagrama de tiempos de las siguientes asignaciones de señales. Sistemas Digitales (66.17) Práctica 2 - VHDL 1. Crear un paquete de utilidades al cual se le vayan agregando todas las funciones, procedimientos y declaración de tipos, constantes, etc., que se creen en

Más detalles

Práctica I.- Introducción a los Sistemas Secuenciales

Práctica I.- Introducción a los Sistemas Secuenciales Práctica I.- Introducción a los Sistemas Secuenciales 1.1.- Objetivos. El objetivo de esta práctica consiste en consolidar las diferencias entre sistemas combinacionales y secuenciales 1.2.- Problema.

Más detalles

ABSTRACCIONES DE UN SISTEMA DIGITAL

ABSTRACCIONES DE UN SISTEMA DIGITAL ABSTRACCIONES DE UN SISTEMA DIGITAL T O P D O W N FUNCIONAL ARQUITECTURAL FÍSICO Algoritmos y funciones que indican la relación E/S Componentes funcionales interconectados que definen la arquitectura Materialización

Más detalles

Sistemas Digitales I Taller No 2: Diseño de Circuitos combinacionales usando VHDL

Sistemas Digitales I Taller No 2: Diseño de Circuitos combinacionales usando VHDL UNIVERSIDAD INDUSTRIAL DE SANTANDER Escuela de Ingenierías Eléctrica, Electrónica y Telecomunicaciones Sistemas Digitales I Taller No 2: Diseño de Circuitos combinacionales usando VHDL Profesor: Carlos

Más detalles

CODIFICADORES CON PRIORIDAD. Grupo 2

CODIFICADORES CON PRIORIDAD. Grupo 2 CODIFICADORES CON PRIORIDAD Grupo 2 Descripción Los codificadores son circuitos combinacionales generalmente de 2 N entradas y N salidas, donde las salidas son el código binario correspondiente al valor

Más detalles

Diseño de Hardware con VHDL

Diseño de Hardware con VHDL Diseño de Hardware con VHDL Facultad de Ingeniería Laboratorio Electrónica Segundo Semestre, 2015 Field Programmable Gate Array (FPGA) De una manera superficial podemos decir que las FPGA son chips de

Más detalles

Memorias ROM: FPGA-VHDL Cómo???

Memorias ROM: FPGA-VHDL Cómo??? Memorias ROM: FPGA-VHDL Cómo??? Nota Técnica 03 Cristian Sisterna Introducción Básicamente hay dos formas de que una memoria ROM sea implementada en un FPGA a partir del código VHDL: - Deduciendo la memoria

Más detalles

TEMA 2. EL LENGUAJE C. ELEMENTOS BÁSICOS

TEMA 2. EL LENGUAJE C. ELEMENTOS BÁSICOS TEMA 2. EL LENGUAJE C. ELEMENTOS BÁSICOS Una vez que ya sabes crear tus propios programas, vamos a analizar los fundamentos del lenguaje de programación C. Este capítulo incluye además los siguientes temas:

Más detalles

Generador de Secuencia Binaria Pseudo Aleatoria

Generador de Secuencia Binaria Pseudo Aleatoria Generador de Secuencia Binaria Pseudo Aleatoria Nota Técnica 12 Cristian Sisterna Introducción La generación de una secuencia pseudo aleatoria de números binarios es muy útil en ciertas ambientes de test

Más detalles

Archivo ASM. Es el documento que contiene el código fuente del programa.

Archivo ASM. Es el documento que contiene el código fuente del programa. ESTRUCTURA GENERAL Todo programa en ensamblador debe ser generado con extensión ASM. Al ser ensamblado se generan archivos en código objeto (OBJ) para reconocer los mnemónicos como códigos de operación.

Más detalles

Tema 7. Análisis de Circuitos Secuenciales

Tema 7. Análisis de Circuitos Secuenciales Tema 7. Análisis de Circuitos Secuenciales Máquinas de estado finito (FSM). Análisis de circuitos secuenciales síncronos. Introducción al diseño secuenciales síncronos. de circuitos Contadores. Registros

Más detalles

ALGORÍTMICA. Dpto. Ingeniería de Sistemas y Automática Facultad de Ciencias Universidad de Valladolid.

ALGORÍTMICA. Dpto. Ingeniería de Sistemas y Automática Facultad de Ciencias Universidad de Valladolid. ALGORÍTMICA Dpto. Ingeniería de Sistemas y Automática Facultad de Ciencias Universidad de Valladolid. Indíce Algoritmo Elementos de un algoritmo: Variables, Constantes, Expresiones Datos: Definición y

Más detalles

Todo programa en 'C' consta de una o más funciones, una de las cuales se llama main.

Todo programa en 'C' consta de una o más funciones, una de las cuales se llama main. LENGUAJE C CARACTERISTICAS DEL LENGUAJE 'C' El lenguaje 'C' se conoce como un lenguaje compilado. Existen dos tipos de lenguaje: interpretados y compilados. Los interpretados son aquellos que necesitan

Más detalles

Circuitos Combinacionales. Escuela de Ingeniería Electrónica TALLER VHDL. Ing. José Alberto Díaz García

Circuitos Combinacionales.  Escuela de Ingeniería Electrónica TALLER VHDL. Ing. José Alberto Díaz García http://deversys.com/?action=article&id=45 Página 1 DE OBJETIVO: Dar a conocer la metodología de diseño y uso de las herramientas que se utilizan en circuitos lógicos para empotrar en un FPGA de SPARTAN

Más detalles

Diseño VHDL de módulos combinacionales básicos

Diseño VHDL de módulos combinacionales básicos GRUPO DE DISEÑO HARDWARE SOFTWARE DIET ESCET URJC W H S W Informe técnico: 001_01 Fecha: 03-03-2006 Proyecto: Subproyecto: Herramientas software: Componentes hardware: Docencia VHDL Veribest Ad-hoc IT_001_01

Más detalles

Cadena de caracteres Numéricas Fecha y hora Booleanas Tipo registro Tipo cursor

Cadena de caracteres Numéricas Fecha y hora Booleanas Tipo registro Tipo cursor Cadena de caracteres Numéricas Fecha y hora Booleanas Tipo registro Tipo cursor Declaración de variables Inicialización de variables Declaración de constantes Asignación de valores a variables Tipo Nombre_var

Más detalles

Manual de referencia de la tarjeta BASYS 2

Manual de referencia de la tarjeta BASYS 2 Universidad Politécnica de Madrid ETSI de Telecomunicación Departamento de Ingeniería Electrónica Circuitos Electrónicos (Plan 2010) Curso 2012-2013 Manual de referencia de la tarjeta BASYS 2 Álvaro de

Más detalles

El lenguaje C. 1. Identificadores, constantes y variables

El lenguaje C. 1. Identificadores, constantes y variables Principios de Programación El lenguaje C 1. Identificadores, constantes y variables 1.1. Conceptos de memoria Los nombres de variable como x, y, suma corresponden a localizaciones o posiciones en la memoria

Más detalles

LABORATORIO DE ARQUITECTURA DE COMPUTADORES. I. T. I. SISTEMAS / GESTIÓN GUÍA DEL ALUMNO

LABORATORIO DE ARQUITECTURA DE COMPUTADORES. I. T. I. SISTEMAS / GESTIÓN GUÍA DEL ALUMNO LABORATORIO DE ARQUITECTURA DE COMPUTADORES. I. T. I. SISTEMAS / GESTIÓN GUÍA DEL ALUMNO Práctica 3: Memorias Objetivos Comprender qué es y cómo funciona un banco de registros Comprender cómo se realiza

Más detalles

Diseño de Sistemas Digitales a través de Diseños Esquemáticos y VHDL Norma Frida Roffe Samaniego. Hoja de respuestas

Diseño de Sistemas Digitales a través de Diseños Esquemáticos y VHDL Norma Frida Roffe Samaniego. Hoja de respuestas Actividad integradora - Capítulo 1 Hoja de respuestas Pregunta 1: Codifique en VHDL un circuito encoder de 8 a 3. Utilice solamente funciones booleanas. El encoder debe operar de la siguiente manera: Se

Más detalles

//Sección de manejo de excepciones. Las rutinas de manejo de errores //aparecen aqui

//Sección de manejo de excepciones. Las rutinas de manejo de errores //aparecen aqui PL/SQL - Oracle PL/SQL (Procedural Language/SQL) es una extensión de SQL, que agrega ciertas construcciones propias de lenguajes procedimentales, obteniendose como resultado un lenguaje estructural mas

Más detalles

1. Módulo de desarrollo Spartan 3 STARTER KIT con un FPGA xc3s200 ft256 2. Software de diseño XILINX ISE versión 10.1

1. Módulo de desarrollo Spartan 3 STARTER KIT con un FPGA xc3s200 ft256 2. Software de diseño XILINX ISE versión 10.1 Universidad Simón Bolívar Departamento de Electrónica y Circuitos EC1723, Circuitos Digitales Trimestre Septiembre-Diciembre 2008 Realización: Semana 8. Miércoles 5 de Noviembre Laboratorio Práctica 4:

Más detalles

Tutorial de ModelSim PE Student Edition

Tutorial de ModelSim PE Student Edition Tutorial de ModelSim PE Student Edition Instalación Ir a http://portal.model.com/modelsim/downloads/license_agreement_form_gen.asp Rellenar los datos y selecionar Request Download Seleccionar el enlace

Más detalles

Programación en C. Algoritmo y Estructura de Datos. Ing. M. Laura López. Programación en C

Programación en C. Algoritmo y Estructura de Datos. Ing. M. Laura López. Programación en C Algoritmo y Estructura de Datos Ing. M. Laura López 1 Estructura de un programa en C 2 Estructura de un programa en C #include Archivos de cabecera # define Definición de constantes Declaraciones Globales

Más detalles

Constantes. Las constantes no cambian durante la ejecucion de un programa en C++, en C++ existen 4 tipos de constantes:

Constantes. Las constantes no cambian durante la ejecucion de un programa en C++, en C++ existen 4 tipos de constantes: Constantes Las constantes se pueden declarar con la palabra reservada const y se le asigna un valor en el momento de la declaracion, este valor no se puede modificar durante el programa y cualquier intento

Más detalles

IEE 2712 Sistemas Digitales

IEE 2712 Sistemas Digitales IEE 2712 Sistemas Digitales Clase 6 Objetivos educacionales: 1. Saber aplicar el método de mapas de Karnaugh para 5 o más variables y para situaciones no-importa. 2. Conocer la implementación práctica

Más detalles

Algoritmos. Medios de expresión de un algoritmo. Diagrama de flujo

Algoritmos. Medios de expresión de un algoritmo. Diagrama de flujo Algoritmos En general, no hay una definición formal de algoritmo. Muchos autores los señalan como listas de instrucciones para resolver un problema abstracto, es decir, que un número finito de pasos convierten

Más detalles

CAPITULO 5 GENERADOR DIGITAL DE TREN DE PULSOS PROGRAMABLE

CAPITULO 5 GENERADOR DIGITAL DE TREN DE PULSOS PROGRAMABLE CAPÍTULO B. GENERADOR DIGITAL DE TREN DE PULSOS PROGRAMABLE CAPITULO 5 GENERADOR DIGITAL DE TREN DE PULSOS PROGRAMABLE CONTENIDO 5.1 Introducción. 5.2 Diseño de un generador digital de tren de pulsos programable

Más detalles

Estatutos de Control C# Estatutos de Decisión (Selección)

Estatutos de Control C# Estatutos de Decisión (Selección) SELECCIÓN Estatutos de Control C# Estatutos de Decisión (Selección) IF Condición THEN Estatuto1 ELSE Estatuto2 Estatuto1 Statement Condición... Antes de ver esta presentación: Lee el Capítulo correspondiente

Más detalles

Curso a distancia: INTRODUCCIÓN AL DISEÑO LÓGICO PROGRAMABLE CON VHDL. Capítulo 3. Codificadores

Curso a distancia: INTRODUCCIÓN AL DISEÑO LÓGICO PROGRAMABLE CON VHDL. Capítulo 3. Codificadores Curso a distancia: INTRODUCCIÓN AL DISEÑO LÓGICO PROGRAMABLE CON VHDL Capítulo 3 Codificadores Codificadores binarios y codificadores de prioridad. Codificadores de 3 a 2 líneas y de 4 a dos líneas. Detector

Más detalles

INTRODUCCIÓN AL LENGUAJE VHDL

INTRODUCCIÓN AL LENGUAJE VHDL INTRODUCCIÓN AL LENGUAJE VHDL LDD 2007-08 1 Introducción. ÍNDICE. 1. Conceptos básicos del lenguaje y Aplicaciones. 2. Tipos de datos y Señales. 3. Sentencias concurrentes y secuenciales. 4. Registros

Más detalles

PUERTAS LOGICAS. Una tensión alta significa un 1 binario y una tensión baja significa un 0 binario.

PUERTAS LOGICAS. Una tensión alta significa un 1 binario y una tensión baja significa un 0 binario. PUERTAS LOGICAS Son bloques de construcción básica de los sistemas digitales; operan con números binarios, por lo que se denominan puertas lógicas binarias. En los circuitos digitales todos los voltajes,

Más detalles

Circuitos Electrónicos Digitales E.T.S.I. Telecomunicación Universidad Politécnica de Madrid. Descripciones funcionales y estructurales

Circuitos Electrónicos Digitales E.T.S.I. Telecomunicación Universidad Politécnica de Madrid. Descripciones funcionales y estructurales Circuitos Electrónicos Digitales E.T.S.I. Telecomunicación Universidad Politécnica de Madrid Descripciones funcionales y estructurales Descripciones funcionales y estructurales. Introducción al lenguaje

Más detalles

LABORATORIO DE ARQUITECTURA DE COMPUTADORES. I. T. I. SISTEMAS / GESTIÓN GUÍA DEL ALUMNO

LABORATORIO DE ARQUITECTURA DE COMPUTADORES. I. T. I. SISTEMAS / GESTIÓN GUÍA DEL ALUMNO LABORATORIO DE ARQUITECTURA DE COMPUTADORES. I. T. I. SISTEMAS / GESTIÓN GUÍA DEL ALUMNO Práctica 2: La Unidad Aritmético - Lógica Objetivos Comprender cómo se realiza un sumador con propagación de acarreo

Más detalles

SISTEMAS INFORMÁTICOS PROGRAMACION I - Contenidos Analíticos Ing. Alejandro Guzmán M. TEMA 2. Diseño de Algoritmos

SISTEMAS INFORMÁTICOS PROGRAMACION I - Contenidos Analíticos Ing. Alejandro Guzmán M. TEMA 2. Diseño de Algoritmos TEMA 2 Diseño de Algoritmos 7 2. DISEÑO DE ALGORITMOS 2.1. Concepto de Algoritmo En matemáticas, ciencias de la computación y disciplinas relacionadas, un algoritmo (del griego y latín, dixit algorithmus

Más detalles

FUNDAMENTOS DE INFORMÁTICA

FUNDAMENTOS DE INFORMÁTICA FUNDAMENTOS DE INFORMÁTICA Tema 1 Introducción a la Programación en Visual Basic Departamento de Ingeniería de Sistemas y Automática Universidad de Vigo undamentos de Informática. Departamento de Ingeniería

Más detalles

Estructuras de Control

Estructuras de Control Algorítmica y Lenguajes de Programación Estructuras de Control Estructuras de Control. Introducción Hasta ahora algoritmos han consistido en simples secuencias de instrucciones Existen tareas más complejas

Más detalles

Estructuras de Datos Declaraciones Tipos de Datos

Estructuras de Datos Declaraciones Tipos de Datos Departamento de Informática Universidad Técnica Federico Santa María Estructuras de Datos Declaraciones Tipos de Datos Temas: 2-3-4 IWI-131, paralelo 01 Profesor: Teddy Alfaro O. Lenguaje de Programación

Más detalles

DISEÑO DE SISTEMAS ELECTRÓNICOS DIGITALES AVANZADOS

DISEÑO DE SISTEMAS ELECTRÓNICOS DIGITALES AVANZADOS Práctica 2 DISEÑO DE SISTEMAS ELECTRÓNICOS DIGITALES AVANZADOS Controlador de teclado Universidad de Alicante Curso 2013/14 Práctica 2 Práctica 2 Control del teclado 1. Introducción Esta práctica plantea

Más detalles

Ci A B S Co 0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 1 1 0 0 1 0 1 0 1 0 1 1 1 0 0 1 1 1 1 1 1

Ci A B S Co 0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 1 1 0 0 1 0 1 0 1 0 1 1 1 0 0 1 1 1 1 1 1 SEMI-SUMDOR SUMNDOS SUM CRREO B S C 0 0 0 0 0 1 1 0 1 0 1 0 1 1 0 1 SUM BINRI B S = B S =. B SUMDOR TOTL Ejemplo de suma B Ci Ci 1 1 0 0 1 i 1 1 1 0 1 Bi 1 0 0 1 Si 1 0 0 1 1 0 Co 1 1 0 0 1 Σ S Co Ci B

Más detalles