ELECTRÓNICA DIGITAL 24-I Determinar el valor decimal de los números expresados en Complemento a 2. (0.25 puntos).

Tamaño: px
Comenzar la demostración a partir de la página:

Download "ELECTRÓNICA DIGITAL 24-I Determinar el valor decimal de los números expresados en Complemento a 2. (0.25 puntos)."

Transcripción

1 ELECTRÓNICA DIGITAL 24-I-2014 PREGUNTAS TEÓRICO PRÁCTICAS: 1. Determinar el valor decimal de los números expresados en Complemento a 2. (0.25 puntos) Determinar el valor de las siguientes operaciones (0.25 puntos) La forma de onda de la salida es incorrecta para las entradas dadas que se aplican al circuito. Suponiendo que una puerta del circuito está fallando, con su salida a un nivel ALTO o BAJO constante, determinar la puerta que falla y el tipo de fallo (circuito abierto o cortocircuito (0.25 puntos). (stuck = trabado) Electrónica Digital. Preguntas teórico prácticas. Curso académico Convocatoria ordinaria.

2 4. Se aplican las formas de onda mostradas a las entradas del comparador. Determinar la señal de salida (A = B) (0.25 puntos). 5. Determinar las salidas del demultiplexor cuyas entradas son las siguientes: (0.25 puntos). 6. Suponer que el codificador lógico decimal-bcd de la figura tiene las entradas 3 y 9 a nivel ALTO. Cuál ese l código de salida? Es éste un código BCD (8421) válido (0.25 puntos). A 3 A 2 A 1 A 0 = 1011 Código BCD no válido. Electrónica Digital. Preguntas teórico prácticas. Curso académico Convocatoria ordinaria.

3 7. Las entradas de la figura se aplican a un FF tipo JK de flanco descendente. Determinar la forma de onda de la salida 1Q (0.25 puntos). 8. Un FF tipo D se conecta según la figura. Cuál es su función específica? (0.25 puntos). Divisor por 2. Electrónica Digital. Preguntas teórico prácticas. Curso académico Convocatoria ordinaria.

4 ELECTRÓNICA DIGITAL 24-I-2014 EJERCICIO1: (1 punto) Supongamos que hay un nudo de tuberías, 4 de entrada y 4 de salidas. La tubería A aporta de media 5 litros por minuto, la B 15 litros/minuto, la C 25 litros/minuto y la D 30 litros/minuto. Cuatro sensores, uno por tubería de entrada, nos indican por qué tubería está circulando el agua. Las tuberías de salida son SA, SB, SC y SD y pueden recoger 5, 10, 20 y 40 litros por minuto respectivamente. Cada tubería de salida está regulada por una válvula que únicamente tiene dos estados: cerrada (un cero lógico) o abierta (un uno lógico). Teniendo en cuenta que sólo puede circular agua en dos tuberías de entrada simultáneamente, activar las válvulas de las tuberías de salida necesarias para que salga tanto caudal de agua como entra. a) Representar la tabla de verdad de la función. b) Obtener las funciones lógicas simplificadas para las cuatro válvulas. c) Implementar el circuito de control de la válvula de la tubería SB. Electrónica Digital. Ejercicios. Curso Académico Convocatoria ordinaria.

5 Electrónica Digital. Ejercicios. Curso Académico Convocatoria ordinaria.

6 EJERCICIO2: (2 puntos) Se desea controlar la señalización de un semáforo mediante 3 señales digitales (una para activar el rojo, otra para el ámbar y otra para el verde). Para diseñar el sistema digital se dispone de un chip que dispone de 5 flip-flops tipo D y de un chip con 4 puertas lógicas OR. Se desea que la secuencia VERDE, ÁMBAR, ROJO, VERDE, ÁMBAR, ROJO... se repita indefinidamente. Teniendo en cuenta que es requisito que el tiempo de señalización ROJO y VERDE sea doble que el tiempo de señalización para el ÁMBAR, Dibujar el esquema del sistema propuesto así como el diagrama de tiempos. Resolución como contador en anillo: Resolución como máquina de estados: Electrónica Digital. Ejercicios. Curso Académico Convocatoria ordinaria.

7 EJERCICIO3: (2 puntos) Se tienen dos depósitos de agua comunicados por una tubería que tiene una electroválvula (ver figura). El nivel de agua de cada depósito se mide con 15 sensores distribuidos uniformemente a lo alto de cada depósito. Cada sensor dará un '1' lógico si está cubierto de agua, y un '0' lógico si está al aire. Como se tienen 15 sensores para conocer el nivel, el rango de valores va desde 0 a 15. Se supone que ningún sensor va a fallar, por lo tanto, si un sensor indica un '1' lógico, todos los sensores que estén debajo de él darán un '1' lógico (pues el agua los cubrirá también). Se quiere realizar un circuito que accione la electroválvula cuando uno de los depósitos contenga el doble o más de agua que el otro, en este caso la salida EV será '1'. Mientras que EV será '0' cuando la diferencia del contenido de agua entre los depósitos sea menor del doble. Al accionar la válvula el depósito de mayor cantidad de agua se vaciará y el de menor cantidad de agua se llenará por ser un sistema de vasos comunicantes. Para realizar el circuito se pueden usar los siguientes bloques combinacionales: decodificadores, codificadores, comparadores, multiplexores, demultiplexores y sumadores de cualquier número de bits. Así como puertas lógicas. Cualquier otro bloque se deberá realizar en función de los anteriores o en puertas lógicas. Para cada bloque utilizado se debe especificar claramente qué bloque es, las señales de entrada y salida, y el ancho de bus. Solución Electrónica Digital. Ejercicios. Curso Académico Convocatoria ordinaria.

8 Electrónica Digital. Ejercicios. Curso Académico Convocatoria ordinaria.

9

10

11 ELECTRÓNICA DIGITAL 24-I-2014 LABORATORIO: 1. Analizar el siguiente código en VHDL. Con qué circuito integrado se corresponde? Por qué? Cuáles son las entradas? Cuáles son las salidas? Cuáles son los terminales de control? (3.5 puntos). LIBRARY ieee ; USE ieee.std_logic_1164.all ; ENTITY circuit IS PORT ( w : IN STD_LOGIC_VECTOR(1 DOWNTO 0) ; En : IN STD_LOGIC ; y : OUT STD_LOGIC_VECTOR(0 TO 3) ) ; END circuit; ARCHITECTURE Behavior OF circuit IS SIGNAL Enw : STD_LOGIC_VECTOR(2 DOWNTO 0) ; BEGIN Enw <= En & w ; WITH Enw SELECT y <= 1000 WHEN 100, 0100 WHEN 101, 0010 WHEN 110, 0001 WHEN 111, 0000 WHEN OTHERS ; END Behavior ; Decodificador de 2 a 4. Entradas: w 1, w 0, En. Salidas: y 0, y 1, y 2, y 3. Terminal de control: En. Electrónica Digital. Preguntas de Laboratorio. Curso Académico Convocatoria ordinaria.

12 2. Ante las entradas propuestas en la figura, es correcto el funcionamiento? En caso de no serlo, qué acciones realizarías para detectar el error? (3 puntos). El funcionamiento no es correcto. El LED que debiera estar encendido es el de a<b. Con un polímetro debería comenzar a comprobar conexiones, continuidad, alimentaciones Para estar encendido a=b las conexiones de cascading inputs deben ser las siguientes: a<b y a>b GND. a=b Vcc. 3. Analizar el funcionamiento del CI 74LS348 qué operación se implementa? Cuáles son las entradas? Cuáles son las salidas? A qué nivel son activas? Qué quiere decir Z? Cuándo se utiliza Z? Analizar los diferentes estados de las salidas según GS y EO. (3.5 puntos). 8 input priority encoder with 3-state ourputs. Entradas EI, 0,1, 2, 3, 4, 5, 6 y 7. Salidas: A2, A1, A0. GS y EO. Las entradas son activas a nivel bajo. Las salidas son activas a nivel bajo. Electrónica Digital. Preguntas de Laboratorio. Curso Académico Convocatoria ordinaria.

13 El funcionamiento de codificador de prioridad se activa con EI = 0. En cuyo caso, GS = L y EO=H. La situación GS = H y EO = L indica, que aún estando activo el enable, ninguna de las entradas del codificador está activa, con lo que las salidas se colocan a alta impedancia. En caso de que el enable esté a HIGH, las salidas se colocan a alta impedancia. La diferencia entre las dos situaciones de salidas en alta impedancia se hallan en las salidas GS y EO, en este último caso, GS = H y EO= H. Electrónica Digital. Preguntas de Laboratorio. Curso Académico Convocatoria ordinaria.

^6+1 2^5+1 2^2+1 2^1+1 2^ ^6+1 2^0-65.

^6+1 2^5+1 2^2+1 2^1+1 2^ ^6+1 2^0-65. ELECTRÓNICA DIGITAL 23-I-2014 PREGUNTAS TEÓRICO PRÁCTICAS: 1. Determinar el valor decimal de los números expresados en Complemento a 2. (0.25 puntos). 10011001 10011000 01100111 1 2^6+1 2^5+1 2^2+1 2^1+1

Más detalles

Sistemas Electrónicos Digitales Curso de adaptación al Grado

Sistemas Electrónicos Digitales Curso de adaptación al Grado Práctica Práctica 2 Sistemas Electrónicos Digitales Curso de adaptación al Grado Sistemas combinacionales con VHDL Universidad de Alicante Ángel Grediaga 2 Índice INTRODUCCIÓN... 3 2 CIRCUITOS COMBINACIONALES...

Más detalles

CODIFICADORES CON PRIORIDAD. Grupo 2

CODIFICADORES CON PRIORIDAD. Grupo 2 CODIFICADORES CON PRIORIDAD Grupo 2 Descripción Los codificadores son circuitos combinacionales generalmente de 2 N entradas y N salidas, donde las salidas son el código binario correspondiente al valor

Más detalles

5. Decodificadores. Salida _1= A A A A = m = M ... Electrónica Digital. Tema

5. Decodificadores. Salida _1= A A A A = m = M ... Electrónica Digital. Tema 5. Decodificadores La función de un decodificador es la siguiente: ante una combinación concreta binaria de entrada (correspondiente a una combinación de algún código binario), activar una salida correspondiente

Más detalles

Decodificadores/Demultiplexores. Grupo 9 Javier de Gregorio Menezo Laro de la Fuente Lastra Raúl Fernández Díaz

Decodificadores/Demultiplexores. Grupo 9 Javier de Gregorio Menezo Laro de la Fuente Lastra Raúl Fernández Díaz Decodificadores/Demultiplexores Grupo 9 Javier de Gregorio Menezo Laro de la Fuente Lastra Raúl Fernández Díaz Decodificadores Un decodificador (DEC) es un circuito combinacional que convierte un código

Más detalles

Electrónica Digital Departamento de Electrónica VHDL. Bioingeniería Facultad de Ingeniería - UNER

Electrónica Digital Departamento de Electrónica VHDL. Bioingeniería Facultad de Ingeniería - UNER Electrónica Digital Departamento de Electrónica VHDL Bioingeniería Facultad de Ingeniería - UNER VHDL VHSIC Hardware Design Language VHSIC Very High Speed Integrated Circuits Verilog Handel C Celóxica

Más detalles

1. Módulo de desarrollo Spartan 3 STARTER KIT con un FPGA xc3s200 ft256 2. Software de diseño XILINX ISE versión 10.1

1. Módulo de desarrollo Spartan 3 STARTER KIT con un FPGA xc3s200 ft256 2. Software de diseño XILINX ISE versión 10.1 Universidad Simón Bolívar Departamento de Electrónica y Circuitos EC1723, Circuitos Digitales Trimestre Septiembre-Diciembre 2008 Realización: Semana 8. Miércoles 5 de Noviembre Laboratorio Práctica 4:

Más detalles

DESCRIPCIÓN DE CIRCUITOS DIGITALES

DESCRIPCIÓN DE CIRCUITOS DIGITALES DESCRIPCIÓN DE CIRCUITOS DIGITALES Circuitos combinacionales Circuitos secuenciales Organización del diseño. Diseño genérico Operaciones iterativas Autores: Luis Entrena, Celia López, Mario García, Enrique

Más detalles

DECODIFICADORES. Para cualquier código dado en las entradas solo se activa una de las N posibles salidas. 2 N

DECODIFICADORES. Para cualquier código dado en las entradas solo se activa una de las N posibles salidas. 2 N DECODIFICADORES Tienen como función detectar la presencia de una determinada combinación de bits en sus entradas y señalar la presencia de este código mediante un cierto nivel de salida. Un decodificador

Más detalles

CONTADORES. Definición. Diseño y analisis de un contador binario hacia arriba de 3 bits con flip-flops JK. Otros contadores típicos.

CONTADORES. Definición. Diseño y analisis de un contador binario hacia arriba de 3 bits con flip-flops JK. Otros contadores típicos. CONTADORES Definición Diseño y analisis de un contador binario hacia arriba de 3 bits con flip-flops JK. Otros contadores típicos Descripción VHDL Ejercicios Definición Un contador es un circuito digital

Más detalles

LABORATORIO DE CIRCUITOS DIGITALES (2005-II) QUINTA CLASE DE VHDL

LABORATORIO DE CIRCUITOS DIGITALES (2005-II) QUINTA CLASE DE VHDL LABORATORIO DE CIRCUITOS DIGITALES (2005-II) QUINTA CLASE DE VHDL MÁQUINAS DE ESTADO FINITAS (FSMs) Máquinas de Moore Máquinas de Mealy MÁQUINAS DE ESTADOS FINITAS (FSMs) Tipos: Máquina de Moore: Las salidas

Más detalles

4. SUMADORES EN BINARIO PURO (I)

4. SUMADORES EN BINARIO PURO (I) TEMA 3: SISTEMAS ARITMÉTICOS Introducción y objetivos (3). Representación y codificación de la información (4-7) 2. Sistemas numéricos posicionales. Binario, hexadecimal, octal, y BCD. (8-33) 3. Números

Más detalles

Sistemas Digitales I Taller No 2: Diseño de Circuitos combinacionales usando VHDL

Sistemas Digitales I Taller No 2: Diseño de Circuitos combinacionales usando VHDL UNIVERSIDAD INDUSTRIAL DE SANTANDER Escuela de Ingenierías Eléctrica, Electrónica y Telecomunicaciones Sistemas Digitales I Taller No 2: Diseño de Circuitos combinacionales usando VHDL Profesor: Carlos

Más detalles

Practica No. 8 Introducción a las Máquinas de Estados. 1. En la figura 1 se muestra el comportamiento de un robot que evade obstáculos.

Practica No. 8 Introducción a las Máquinas de Estados. 1. En la figura 1 se muestra el comportamiento de un robot que evade obstáculos. Practica No. 8 Introducción a las Máquinas de Estados Objetivo: Familiarizar al alumno en el conocimiento de los algoritmos de las máquinas de estados. Desarrollo: Para cada uno de los siguientes apartados,

Más detalles

Sistemas Combinacionales

Sistemas Combinacionales Sistemas Combinacionales Tipos de Sistemas Digitales Puertas Lógicas Bloques Combinacionales Multiplexores Decodificadores/demultiplexores Decodificadores BCD a 7 segmentos Codificadores Comparadores Sumadores

Más detalles

Diseño de Sistemas Digitales a través de Diseños Esquemáticos y VHDL Norma Frida Roffe Samaniego. Hoja de respuestas

Diseño de Sistemas Digitales a través de Diseños Esquemáticos y VHDL Norma Frida Roffe Samaniego. Hoja de respuestas Actividad integradora - Capítulo 1 Hoja de respuestas Pregunta 1: Codifique en VHDL un circuito encoder de 8 a 3. Utilice solamente funciones booleanas. El encoder debe operar de la siguiente manera: Se

Más detalles

MAQUINA DE ESTADO FINITO (FSM) Autómata finito

MAQUINA DE ESTADO FINITO (FSM) Autómata finito MAQUINA DE ESTADO FINITO (FSM) Autómata finito Modelo de Mealy E Lógica del próximo estado Q t+1 M E M O R I A Q t Lógica de salida S Ck Q t+1 = f (E, Q t ) S = g (E, Q t ) Modelo de Moore E Lógica del

Más detalles

+ Máquinas de Estado Finitas

+ Máquinas de Estado Finitas + Máquinas de Estado Finitas Las máquinas de estado pueden ser: SÍNCRONAS: Necesitan de la intervención de un pulso de reloj. Si la entrada participa también en la salida se denomina Máquina de estado

Más detalles

Tema 7. Análisis de Circuitos Secuenciales

Tema 7. Análisis de Circuitos Secuenciales Tema 7. Análisis de Circuitos Secuenciales Máquinas de estado finito (FSM). Análisis de circuitos secuenciales síncronos. Introducción al diseño secuenciales síncronos. de circuitos Contadores. Registros

Más detalles

Práctica I Modelado y simulación de una máquina expendedora de refrescos

Práctica I Modelado y simulación de una máquina expendedora de refrescos Práctica I Modelado y simulación de una máquina expendedora de refrescos Departamento de Ingeniería Electrónica de Sistemas Informáticos y Automática 2 1.1. Objetivos. En esta práctica vamos a modelar

Más detalles

Tema 3 - Modelado con HDL a nivel RTL

Tema 3 - Modelado con HDL a nivel RTL - Modelado con HDL a nivel RTL Eduardo Rodríguez Martínez Departamento de Electrónica División de Ciencias Básicas e Ingeniería Universidad Autónoma Metropolitana Unidad Azcapotzalco Email: erm@correo.azc.uam.mx

Más detalles

Universidad Nacional de Quilmes

Universidad Nacional de Quilmes Universidad Nacional de Quilmes ASIGNATURA : TECNICAS DIGITALES CURSO :... CICLO LECTIVO : 2010 T. P. Nro. : II NOMBRE DEL T. P. : MBINACIONAL II: Decodificadores, Multiplexor, DeMultiplexor, Comparador,

Más detalles

Montaje y evaluación de sistemas digitales combinacionales.

Montaje y evaluación de sistemas digitales combinacionales. PRÁCTICA 3 Montaje y evaluación de sistemas digitales combinacionales. 1. Objetivos El objetivo de la siguiente práctica es familiarizar al alumno con el manejo de sistemas combinacionales, además de:

Más detalles

PARTE I. TÉCNICAS DE DISEÑO ELECTRÓNICO MEDIANTE LENGUAJES DE DESCRIPCIÓN HARDWARE

PARTE I. TÉCNICAS DE DISEÑO ELECTRÓNICO MEDIANTE LENGUAJES DE DESCRIPCIÓN HARDWARE PARTE I. TÉCNICAS DE DISEÑO ELECTRÓNICO MEDIANTE LENGUAJES DE DESCRIPCIÓN HARDWARE TEMA 3. Modelos de sistemas con VHDL Curso 05/06 1 TEMA 3. Modelos de sistemas digitales 3.1 Sistemas combinacionales

Más detalles

INTRODUCCIÓN AL SOFTWARE ISE (Integrated Software Environment) DE XILINX

INTRODUCCIÓN AL SOFTWARE ISE (Integrated Software Environment) DE XILINX INTRODUCCIÓN AL SOFTWARE ISE (Integrated Software Environment) DE XILINX Índice GUÍA DE INICIO AL SOFTWARE ISE DE XILINX... 1 1. Introducción... 1 2. Inicio del software ISE (Integrated Software Environment)

Más detalles

PRÁCTICA 3 Montaje y evaluación de sistemas digitales combinacionales.

PRÁCTICA 3 Montaje y evaluación de sistemas digitales combinacionales. Montaje y evaluación de sistemas digitales combinacionales. 1. Objetivos El objetivo de la siguiente práctica es familiarizar al alumno con el manejo de sistemas combinacionales, además de: Manejar las

Más detalles

INGENIERÍA DE COMPUTADORES 3. Solución al Trabajo Práctico - Junio de 2013

INGENIERÍA DE COMPUTADORES 3. Solución al Trabajo Práctico - Junio de 2013 Solución al Trabajo Práctico - Junio de 2013 EJERCICIO 1 En la Figura 1.1 se muestra el símbolo lógico de un circuito digital cuya función es encender una luz de aviso de un coche. Este circuito enciende

Más detalles

PROBLEMAS TECNOLOGÍA INDUSTRIAL II. CONTROL DIGITAL

PROBLEMAS TECNOLOGÍA INDUSTRIAL II. CONTROL DIGITAL PROBLEMAS TECNOLOGÍA INDUSTRIAL II. CONTROL DIGITAL 1. 2. 3. 4. 5. 6. a) Convierta el número (5B3) 16 al sistema decimal b) Convierta el número (3EA) 16 al sistema binario c) Convierta el número (235)

Más detalles

TEMA 5.3 SISTEMAS DIGITALES

TEMA 5.3 SISTEMAS DIGITALES TEMA 5.3 SISTEMAS DIGITALES TEMA 5 SISTEMAS DIGITALES FUNDAMENTOS DE ELECTRÓNICA 08 de enero de 2015 TEMA 5.3 SISTEMAS DIGITALES Introducción Sistemas combinacionales Sistemas secuenciales TEMA 5.3 SISTEMAS

Más detalles

Practica Nº4 Multiplexores

Practica Nº4 Multiplexores Practica Nº4 Multiplexores OBJETIVO: El estudiante al terminar esta práctica estará en capacidad de poder analizar y diseñar circuitos combinacionales Multiplexores y circuitos lógicos aritméticos. PRELABORATORIO:

Más detalles

2).Diseñar los circuitos cuyas tablas de estados son las siguientes:

2).Diseñar los circuitos cuyas tablas de estados son las siguientes: EJERCICIOS Tema 7 Ejercicios Síncronos 1) Deduce las tablas de estado que se correponden con los siguientes diagramas de estado. 2).Diseñar los circuitos cuyas tablas de estados son las siguientes: 0 1

Más detalles

VHDL. Lenguaje de descripción hardware Estructura Básica de diseño

VHDL. Lenguaje de descripción hardware Estructura Básica de diseño VHDL. Lenguaje de descripción hardware Estructura Básica de diseño 2007 A.G.O. All Rights Reserved Estructura de un diseño en VHDL LIBRARY declaraciones VHDL estructura básica ENTITY caja negra ARCHITECTURE

Más detalles

Sistemas Digitales. Circuitos Codificadores

Sistemas Digitales. Circuitos Codificadores Sistemas Digitales Circuitos Codificadores Se definen como tal, a circuitos combinacionales que tienen 2 n entradas y n salidas, aunque en algunos casos prácticos, suelen tener menos entradas. A cada una

Más detalles

TEMA 7 ELECTRÓNICA DIGITAL: LÓGICA COMBINACIONAL

TEMA 7 ELECTRÓNICA DIGITAL: LÓGICA COMBINACIONAL TEMA 7 ELECTRÓNICA DIGITAL: LÓGICA COMBINACIONAL 11 1) Cuántas funciones de conmutación diferentes se pueden definir con 3 variables binarias? a) 8. b) 9. c) depende del problema en concreto. d) 256. 2)

Más detalles

TEMA 8. REGISTROS Y CONTADORES.

TEMA 8. REGISTROS Y CONTADORES. TEMA 8. REGISTROS Y CONTADORES. TECNOLOGÍA DE COMPUTADORES. CURSO 2007/08 8.1. Registros. Tipos de registros. Registros de desplazamiento. Los registros son circuitos secuenciales capaces de almacenar

Más detalles

Electrónica Digital. Actividad Dirigida. Implementación de un Cronómetro Digital

Electrónica Digital. Actividad Dirigida. Implementación de un Cronómetro Digital Electrónica Digital Actividad Dirigida Implementación de un Cronómetro Digital Trabajo a realizar La actividad consiste en la implementación de un cronómetro digital con capacidad de cuenta de minutos

Más detalles

CONTADORES CONTADORES ASINCRONOS ASCENDENTE

CONTADORES CONTADORES ASINCRONOS ASCENDENTE CONTADOES CONTADOES ASINCONOS ASCENDENTE S 2 J ck 2 K Vdd Vdd Vdd S J ck K S J ck K Las entradas asincronas S y estan desactivadas -- CI : 2 3 4 5 6 7 8 9 2 2 CONTADO ASINCONO DESCENDENTE S 2 J ck 2 K

Más detalles

CAPITULO 5 GENERADOR DIGITAL DE TREN DE PULSOS PROGRAMABLE

CAPITULO 5 GENERADOR DIGITAL DE TREN DE PULSOS PROGRAMABLE CAPÍTULO B. GENERADOR DIGITAL DE TREN DE PULSOS PROGRAMABLE CAPITULO 5 GENERADOR DIGITAL DE TREN DE PULSOS PROGRAMABLE CONTENIDO 5.1 Introducción. 5.2 Diseño de un generador digital de tren de pulsos programable

Más detalles

INGENIERÍA DE COMPUTADORES III. Solución al examen de Septiembre 2013

INGENIERÍA DE COMPUTADORES III. Solución al examen de Septiembre 2013 Solución al examen de Septiembre 2013 PREGUNTA 1 (2 puntos) Tomando como base el siguiente código VHDL, dibuje el cronograma de evolución de las señales clk, x, a, b, c e y entre los instantes 0 y 1000

Más detalles

DISEÑO DE PROCESADORES DEDICADOS. Práctica. No. 8 Circuitos de Barrido y Escaneo: Teclado Matricial de Propósito General y Matriz de LEDs

DISEÑO DE PROCESADORES DEDICADOS. Práctica. No. 8 Circuitos de Barrido y Escaneo: Teclado Matricial de Propósito General y Matriz de LEDs DISEÑO DE PROCESADORES DEDICADOS Práctica. No. 8 Circuitos de Barrido y Escaneo: Teclado Matricial de Propósito General y Matriz de LEDs DR. JUAN CARLOS HERRERA LOZADA jlozada@ipn.mx Instituto Politécnico

Más detalles

VHDL y el método de diseño basado en descripción y síntesis. RESUMEN.

VHDL y el método de diseño basado en descripción y síntesis. RESUMEN. VHDL y el método de diseño basado en descripción y síntesis. AUTOR : Pablo Mazzara. Grupo de Microelectrónica del IIE. Facultad de Ingeniería. Montevideo. Uruguay. e-mail mazzara@iie.edu.uy RESUMEN. Una

Más detalles

Unidad Didáctica 6 Electrónica Digital 4º ESO

Unidad Didáctica 6 Electrónica Digital 4º ESO Unidad Didáctica 6 Electrónica Digital 4º ESO ELECTRÓNICA DIGITAL SEÑALES ELECTRICAS LÓGICA BINARIA CIRCUITOS INTEGRADOS DIGITALES DISEÑO DE CTOS. COMBINACIONALES Y CTOS. IMPRESOS TIPOS SISTEMAS DE NUMERACIÓN

Más detalles

PRÁCTICA 1: SISTEMAS COMBINACIONALES

PRÁCTICA 1: SISTEMAS COMBINACIONALES DEPARTAMENTO DE AUTOMÁTICA UAH GRADO fdsfdsdfsdfsdf EN INGENIERÍA INFORMÁTICA OBJETIVOS Iniciar y familiarizar al alumno con su puesto de trabajo en el laboratorio y con el manejo de la instrumentación

Más detalles

CIRCUITOS SECUENCIALES

CIRCUITOS SECUENCIALES CIRCUITOS SECUENCIALES 1 Obtener el cronograma del circuito de la figura, y caracterizarlo, sabiendo que parte del estado 000. 2 Obtener el cronograma del circuito de la figura. De qué circuito se trata?

Más detalles

PRÁCTICA: LENGUAJE VHDL

PRÁCTICA: LENGUAJE VHDL PRÁCTICA: LENGUAJE Introducción Los lenguajes permiten manejar mejor grandes tamaños Los lenguajes son más flexibles que las tablas Los lenguajes son légibles por las máquinas más fácilmente que los gráficos

Más detalles

Relación de Problemas de Circuitos Secuenciales

Relación de Problemas de Circuitos Secuenciales Escuela Técnica de Ingenieros en Informática de Sistemas Sistemas Electrónicos Digitales Relación de Problemas de Circuitos Secuenciales 1.- Dado el circuito secuencial síncrono de la figura: a.- Trace

Más detalles

KIT LUCES SECUENCIALES REVERSIBLES CON 16 LEDS. Luces secuenciales con efecto de scanner o simulador de alarma.

KIT LUCES SECUENCIALES REVERSIBLES CON 16 LEDS. Luces secuenciales con efecto de scanner o simulador de alarma. KIT LUCES SECUENCIALES REVERSIBLES CON 16 LEDS Luces secuenciales con efecto de scanner o simulador de alarma. Tabla de Contenido DEFINICIÓN FUNCIONAMIENTO LISTA DE PARTES ENSAMBLE REFERENCIAS DEFINICIÓN

Más detalles

PROBLEMAS DE ESTRUCTURA Y TECNOLOGIA DE COMPUTADORES I

PROBLEMAS DE ESTRUCTURA Y TECNOLOGIA DE COMPUTADORES I 1) Convertir los siguientes números a sus correspondientes representaciones en las bases 2,, 5 y 16: a) 465 10 b) 24 8 c) 4287 10 2) Pasar los siguientes números binarios a base octal y hexadecimal: a)

Más detalles

FUNDAMENTOS DE COMPUTADORES EJERCICIOS U1: Álgebra de Boole y Diseño Lógico

FUNDAMENTOS DE COMPUTADORES EJERCICIOS U1: Álgebra de Boole y Diseño Lógico U1_1. Realizar las siguientes operaciones (verificar las respuestas en decimal) a) onvertir a binario natural los números decimales 321, 1462, 205, 1023, 1024, 135, 45 y 967 b) onvertir a decimal los números

Más detalles

HOJA DE PROBLEMAS 6: MÓDULOS COMBINACIONALES BÁSICOS

HOJA DE PROBLEMAS 6: MÓDULOS COMBINACIONALES BÁSICOS f Universidad Rey Juan Carlos Grado en Ingeniería Informática Fundamentos de Computadores HOJA DE PROBLEMAS 6: MÓDULOS COMBINACIONALES BÁSICOS. Dado el módulo combinacional de la figura se pide dibujar

Más detalles

ANALISIS Y DISEÑO DE CIRCUITOS COMBINACIONALES. Codificadores Decodificadores Multiplexores Comparadores Generadores/Detectores de paridad

ANALISIS Y DISEÑO DE CIRCUITOS COMBINACIONALES. Codificadores Decodificadores Multiplexores Comparadores Generadores/Detectores de paridad apítulo : nálisis y Diseño de ircuitos ombinacionales NISIS Y DISEÑO DE IRUITOS OMINIONES odificadores Decodificadores Multiplexores omparadores Generadores/Detectores de paridad ódigos D Sistema Decimal

Más detalles

GUÍA PRÁCTICA DEL SOFTWARE ACTIVE-HDL.

GUÍA PRÁCTICA DEL SOFTWARE ACTIVE-HDL. 24/9/2013 ÁREA DE TECNOLOGÍA ELECTRÓNICA UBU GUÍA PRÁCTICA DEL SOFTWARE ACTIVE-HDL. Manual de Inicio Miguel Ángel Lozano Pérez Contenido 1.1 GUÍA DE SOFTWARE... 3 1.1.1 Primer paso: crear un diseño nuevo...

Más detalles

EIE SISTEMAS DIGITALES Tema 6: Funciones de la lógica combinacional. Nombre del curso: Sistemas Digitales Nombre del docente: Héctor Vargas

EIE SISTEMAS DIGITALES Tema 6: Funciones de la lógica combinacional. Nombre del curso: Sistemas Digitales Nombre del docente: Héctor Vargas EIE 446 - ITEM DIGITLE Tema 6: Funciones de la lógica combinacional Nombre del curso: istemas Digitales Nombre del docente: Héctor Vargas OBJETIVO DE L UNIDD Distinguir entre semi-sumadores y sumadores

Más detalles

Operación de circuitos lógicos combinatorios.

Operación de circuitos lógicos combinatorios. Operación de circuitos lógicos combinatorios. 1.1 Analiza circuitos lógicos combinatorios, empleando sistemas y códigos numéricos. A. Identificación de las características de la electrónica digital. Orígenes

Más detalles

Práctica 5. Generadores de Señales de Reloj y Flip-flops

Práctica 5. Generadores de Señales de Reloj y Flip-flops 5.1 Objetivo Práctica 5 Generadores de Señales de Reloj y Flip-flops El alumno conocerá y comprobará el funcionamiento de dispositivos empleados en la lógica secuencial y dispositivos con memoria basados

Más detalles

CURSO: ELECTRÓNICA DIGITAL UNIDAD 2: SISTEMAS COMBINATORIOS - TEORÍA PROFESOR: JORGE ANTONIO POLANÍA 1. CIRCUITOS ARITMÉTICOS

CURSO: ELECTRÓNICA DIGITAL UNIDAD 2: SISTEMAS COMBINATORIOS - TEORÍA PROFESOR: JORGE ANTONIO POLANÍA 1. CIRCUITOS ARITMÉTICOS CURSO: ELECTRÓNICA DIGITAL UNIDAD 2: SISTEMAS COMBINATORIOS - TEORÍA PROFESOR: JORGE ANTONIO POLANÍA En Electrónica digital se tienen sistemas combinatorios y sistemas secuenciales. Un sistema combinatorio

Más detalles

Unidad Didáctica Electrónica Digital 4º ESO

Unidad Didáctica Electrónica Digital 4º ESO Unidad Didáctica Electrónica Digital 4º ESO ÍNDICE 1. INTRODUCCIÓN 2. SISTEMAS DE NUMERACIÓN 3. PUERTAS LÓGICAS 4. FUNCIONES LÓGICAS 1.- Introducción Señal analógica. Señal digital Una señal analógica

Más detalles

Curso a distancia: INTRODUCCIÓN AL DISEÑO LÓGICO PROGRAMABLE CON VHDL. Capítulo 3. Codificadores

Curso a distancia: INTRODUCCIÓN AL DISEÑO LÓGICO PROGRAMABLE CON VHDL. Capítulo 3. Codificadores Curso a distancia: INTRODUCCIÓN AL DISEÑO LÓGICO PROGRAMABLE CON VHDL Capítulo 3 Codificadores Codificadores binarios y codificadores de prioridad. Codificadores de 3 a 2 líneas y de 4 a dos líneas. Detector

Más detalles

VI. Especificación del Comportamiento

VI. Especificación del Comportamiento VI. Especificación del Comportamiento 1 Introducción El objetivo de un sistema electrónico es transformar datos de entradas en resultados como salidas, esta clase de actividad es conocida como Comportamiento

Más detalles

Registros de desplazamiento

Registros de desplazamiento Registros de desplazamiento Definición de registro de desplazamiento básico Tipos de registro de desplazamiento Configuraciones específicas Aplicaciones más típicas VHDL Ejercicio propuestos Definición

Más detalles

INDICE. XIII Introducción. XV 1. Introducción a la técnica digital 1.1. Introducción

INDICE. XIII Introducción. XV 1. Introducción a la técnica digital 1.1. Introducción INDICE Prologo XIII Introducción XV 1. Introducción a la técnica digital 1.1. Introducción 1 1.2. Señales analógicas y digitales 1.2.1. Señales analógicas 1.2.2. Señales digitales 2 1.3. Procesos digitales

Más detalles

ESTRUCTURA Y TECNOLOGIA DE COMPUTADORES II Curso PROBLEMAS TEMA 4: Unidad Aritmético Lógica

ESTRUCTURA Y TECNOLOGIA DE COMPUTADORES II Curso PROBLEMAS TEMA 4: Unidad Aritmético Lógica Problemas propuestos en examen PROBLEMAS TEMA 4: Unidad Aritmético Lógica 4.1 Se desea realizar una Unidad Aritmético Lógica que realice dos operaciones, suma y comparación de dos números X (x 2 ) e Y

Más detalles

Proyecto de Electrónica. Contador digital de 0 a 9

Proyecto de Electrónica. Contador digital de 0 a 9 Proyecto de Electrónica Contador digital de 0 a 9 La finalidad del proyecto consiste en mostrar en un display un conteo de cero a nueve, donde la velocidad de conteo podrá ser regulada. Componentes a utilizar

Más detalles

FPGA: Herramientas de diseño

FPGA: Herramientas de diseño FPGA: Herramientas de diseño Proceso diseño Design and implement a simple unit permitting to speed up encryption with RC5-similar cipher with fixed key set on 8031 microcontroller. Unlike in the experiment

Más detalles

Diseño VHDL de módulos combinacionales básicos

Diseño VHDL de módulos combinacionales básicos GRUPO DE DISEÑO HARDWARE SOFTWARE DIET ESCET URJC W H S W Informe técnico: 001_01 Fecha: 03-03-2006 Proyecto: Subproyecto: Herramientas software: Componentes hardware: Docencia VHDL Veribest Ad-hoc IT_001_01

Más detalles

9. Dibujar el diagrama de tiempos de las siguientes asignaciones de señales.

9. Dibujar el diagrama de tiempos de las siguientes asignaciones de señales. Sistemas Digitales (66.17) Práctica 2 - VHDL 1. Crear un paquete de utilidades al cual se le vayan agregando todas las funciones, procedimientos y declaración de tipos, constantes, etc., que se creen en

Más detalles

Ci A B S Co 0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 1 1 0 0 1 0 1 0 1 0 1 1 1 0 0 1 1 1 1 1 1

Ci A B S Co 0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 1 1 0 0 1 0 1 0 1 0 1 1 1 0 0 1 1 1 1 1 1 SEMI-SUMDOR SUMNDOS SUM CRREO B S C 0 0 0 0 0 1 1 0 1 0 1 0 1 1 0 1 SUM BINRI B S = B S =. B SUMDOR TOTL Ejemplo de suma B Ci Ci 1 1 0 0 1 i 1 1 1 0 1 Bi 1 0 0 1 Si 1 0 0 1 1 0 Co 1 1 0 0 1 Σ S Co Ci B

Más detalles

Manual de referencia de la tarjeta BASYS 2

Manual de referencia de la tarjeta BASYS 2 Universidad Politécnica de Madrid ETSI de Telecomunicación Departamento de Ingeniería Electrónica Circuitos Electrónicos (Plan 2010) Curso 2012-2013 Manual de referencia de la tarjeta BASYS 2 Álvaro de

Más detalles

Tema 3. 2 Sistemas Combinacionales

Tema 3. 2 Sistemas Combinacionales Tema 3. 2 Sistemas Combinacionales Índice Circuitos combinacionales: concepto, análisis y síntesis. Métodos de simplificación de funciones lógicas. Estructuras combinacionales básicas Multiplexores Demultiplexores

Más detalles

FUNDAMENTOS DE COMPUTADORES 1ª PRUEBA ESCRITA

FUNDAMENTOS DE COMPUTADORES 1ª PRUEBA ESCRITA FUNMENTOS E OMPUTORES 1ª PRUE ESRIT GRO EN INGENIERÍ INFORMÁTI FUNMENTOS E OMPUTORES 1ª PRUE ESRIT pellidos y nombre Firma NORMS: Las preguntas de test se responderán en el casillero adjunto. No está permitido

Más detalles

GUIA DIDACTICA DE ELECTRONICA N º12 1. IDENTIFICACION ASIGNATURA GRADO PERIODO I.H.S. TECNOLOGIA ONCE CUARTO 6

GUIA DIDACTICA DE ELECTRONICA N º12 1. IDENTIFICACION ASIGNATURA GRADO PERIODO I.H.S. TECNOLOGIA ONCE CUARTO 6 1. IDENTIFICACION ASIGNATURA GRADO PERIODO I.H.S. TECNOLOGIA ONCE CUARTO 6 DOCENTE(S) DEL AREA:NILSON YEZID VERA CHALA COMPETENCIA: USO Y APROPIACION DE LA TECNOLOGIA NIVEL DE COMPETENCIA: INTERPRETATIVA

Más detalles

Programa Oficial de Asignatura. Ficha Técnica. Presentación. Competencias y/o resultados del aprendizaje. Electrónica Analógica y Digital

Programa Oficial de Asignatura. Ficha Técnica. Presentación. Competencias y/o resultados del aprendizaje. Electrónica Analógica y Digital Ficha Técnica Titulación: Grado en Ingeniería de Tecnología y Servicios de Telecomunicación Plan BOE: BOE número 108 de 6 de mayo de 2015 Asignatura: Electrónica e Instrumentación Básica Módulo: Electrónica

Más detalles

Tema 5. SISTEMAS COMBINACIONALES. Tema 5. Sistemas combinacionales por Angel Redondo I.E.S Isaac Peral Torrejon de Ardoz 1

Tema 5. SISTEMAS COMBINACIONALES. Tema 5. Sistemas combinacionales por Angel Redondo I.E.S Isaac Peral Torrejon de Ardoz 1 Tema 5. SISTEMAS COMBINACIONALES Tema 5. Sistemas combinacionales por Angel Redondo I.E.S Isaac Peral Torrejon de Ardoz SISTEMAS COMBINACIONALES Sistemas combinacionales. Codificadores Decodificadores

Más detalles

UNIVERSIDAD NACIONAL MAYOR DE SAN MARCOS (Universidad del Perú, Decana de América)

UNIVERSIDAD NACIONAL MAYOR DE SAN MARCOS (Universidad del Perú, Decana de América) UNIVERSIDAD NACIONAL MAYOR DE SAN MARCOS (Universidad del Perú, Decana de América) FACULTAD DE INGENIERIA DE SISTEMAS E INFORMATICA Escuela Académico Profesional de Ingeniería de Sistemas SILABO 1. ESPECIFICACIONES

Más detalles

CBTIS 122 CIRCUITOS DIGITALES ACADEMIA DE MECATRONICA INDICE

CBTIS 122 CIRCUITOS DIGITALES ACADEMIA DE MECATRONICA INDICE CYNTHIA P. GUERRERO SAUCEDO PALOMA G. MENDOZA VILLEGAS INDICE 1. USO DEL PROTOBOARD Y COMPONENTES BASICOS..2 2. SUMADOR BINARIO DE 4 BITS.. 7 3. EVALUACION DE UN CIRCUITO LOGICO DE 3 VARIABLES.. 9 4. IMPLEMENTACION

Más detalles

INDICE Capítulo 1. Introducción Capítulo 2. Circuitos lógicos básicos Capítulo 3. Sistemas numéricos Capítulo 4. Codificación

INDICE Capítulo 1. Introducción Capítulo 2. Circuitos lógicos básicos Capítulo 3. Sistemas numéricos Capítulo 4. Codificación INDICE Capítulo 1. Introducción 1.1. Cantidades analógicas y digitales 1.2. Sistemas electrónico digitales 16 1.3. Circuitos integrados 17 1.4. Disipación de potencia y velocidad de operación 1.5. Aplicación

Más detalles

PROBLEMA VHDL. 7 dig1. dig2. Entradas : Señales a[3..0] y b [3..0] en código GRAY Salida : Señales Dig1[6..0] y Dig2[6..0] para los visualizadores

PROBLEMA VHDL. 7 dig1. dig2. Entradas : Señales a[3..0] y b [3..0] en código GRAY Salida : Señales Dig1[6..0] y Dig2[6..0] para los visualizadores LAB. Nº: 4 HORARIO: H-441 FECHA: 2/10/2005 Se tienen 2 números en Código GRAY de 4 bits. Se requiere diseñar un circuito que obtenga la suma de estos 2 números y que muestre el resultado en formato BCD

Más detalles

Universidad de San Carlos de Guatemala Facultad de Ingeniería Escuela de Mecánica Eléctrica Laboratorio de Electrónica Electrónica 3

Universidad de San Carlos de Guatemala Facultad de Ingeniería Escuela de Mecánica Eléctrica Laboratorio de Electrónica Electrónica 3 Universidad de San Carlos de Guatemala Facultad de Ingeniería Escuela de Mecánica Eléctrica Laboratorio de Electrónica Electrónica 3 INDICE: Pg. Carátula 1 Introducción 2 Conocimientos Necesarios 2 1.0

Más detalles

Generador de Secuencia Binaria Pseudo Aleatoria

Generador de Secuencia Binaria Pseudo Aleatoria Generador de Secuencia Binaria Pseudo Aleatoria Nota Técnica 12 Cristian Sisterna Introducción La generación de una secuencia pseudo aleatoria de números binarios es muy útil en ciertas ambientes de test

Más detalles

Tema 6: Circuitos Digitales BásicosB. Escuela Politécnica Superior Ingeniería Informática Universidad Autónoma de Madrid

Tema 6: Circuitos Digitales BásicosB. Escuela Politécnica Superior Ingeniería Informática Universidad Autónoma de Madrid Tema 6: Circuitos Digitales BásicosB Ingeniería Informática Universidad utónoma de Madrid O B J E T I V O S Circuitos digitales básicosb Comprender las funciones lógicas elementales Habilidad para diseñar

Más detalles

Subsistemas aritméticos y lógicos. Tema 8

Subsistemas aritméticos y lógicos. Tema 8 Subsistemas aritméticos y lógicos Tema 8 Qué sabrás al final del capítulo? Diseño de Sumadores Binarios Semisumadores Sumador completo Sumador con acarreo serie Sumador con acarreo anticipado Sumador /

Más detalles

ARQUITECTURAS ESPECIALES

ARQUITECTURAS ESPECIALES ARQUITECTURAS ESPECIALES EL - 337 Página Qué es un Multiplexor? EL - 337 Un multiplexor o MUX es un switch digital (interruptor digital) que conecta una de las entradas con su única salida. Desde el punto

Más detalles

Universidad Autónoma de Querétaro Facultad de Ingeniería

Universidad Autónoma de Querétaro Facultad de Ingeniería Universidad Autónoma de Querétaro Facultad de Ingeniería Manual de Prácticas Sistemas Digitales con Lógica Reconfigurable (SDLRI) Que como parte de los requisitos para obtener el grado de Ingeniero en

Más detalles

Electrónica II. Carrera. Electromecánica EMM UBICACIÓN DE LA ASIGNATURA a) Relación con otras asignaturas del plan de estudios.

Electrónica II. Carrera. Electromecánica EMM UBICACIÓN DE LA ASIGNATURA a) Relación con otras asignaturas del plan de estudios. 1. DATOS DE LA ASIGNATURA Nombre de la asignatura Carrera Clave de la asignatura Horas teoría-horas práctica-créditos Electrónica II Electromecánica EMM-0516 3-2-8 2. HISTORIA DEL PROGRAMA Lugar y fecha

Más detalles

Módulo 2 n. Figura 2.1. Simbología de un contador

Módulo 2 n. Figura 2.1. Simbología de un contador Contadores 2.1. Introducción Los contadores son aplicaciones clásicas de los flip-flop, es un dispositivo electrónico capaz de contar el número de pulsos que llegan a su entrada de reloj. En muchas ocasiones

Más detalles

TEMA 5. SISTEMAS COMBINACIONALES MSI.

TEMA 5. SISTEMAS COMBINACIONALES MSI. Fundamentos de Computadores. Circuitos Combinacionales MSI T5-1 TEMA 5. SISTEMAS COMBINACIONALES MSI. INDICE: INTRODUCCIÓN DECODIFICADORES o REALIZACIÓN DE FUNCIONES CON DECODIFICADORES CONVERTIDORES DE

Más detalles

4. Síntesis de un circuito secuencial síncrono

4. Síntesis de un circuito secuencial síncrono 4 Síntesis de un circuito secuencial síncrono El estudio del procedimiento de diseño de circuitos secuenciales síncronos se realiza mediante la aplicación a un sencillo ejemplo Los puntos a desarrollar

Más detalles

Registros y latches multibit. EL-3213 Circuitos Digitales I. Registro de 8 bits (octal register) Otros registros de 8 bits. 74x175

Registros y latches multibit. EL-3213 Circuitos Digitales I. Registro de 8 bits (octal register) Otros registros de 8 bits. 74x175 Registros y latches multibit EL-3213 Circuitos Digitales I 74x175 Registros Contadores Registros de Desplazamiento 1 2 Registro de 8 bits (octal register) 74x374 Salida de 3 estados Otros registros de

Más detalles

DISEÑO CURRICULAR ELECTRÓNICA DIGITAL

DISEÑO CURRICULAR ELECTRÓNICA DIGITAL DISEÑO CURRICULAR ELECTRÓNICA DIGITAL FACULTAD (ES) CARRERA (S) Ingeniería Computación y Sistemas. CÓDIGO HORAS TEÓRICAS HORAS PRÁCTICAS UNIDADES DE CRÉDITO SEMESTRE 116243 02 02 03 VI PRE-REQUISITO ELABORADO

Más detalles

CIRCUITOS SECUENCIALES

CIRCUITOS SECUENCIALES LABORATORIO # 7 Realización: 16-06-2011 CIRCUITOS SECUENCIALES 1. OBJETIVOS Diseñar e implementar circuitos utilizando circuitos multivibradores. Comprender los circuitos el funcionamiento de los circuitos

Más detalles

Introducción a la simulación con ModelSim.

Introducción a la simulación con ModelSim. Introducción a la simulación con ModelSim. Este es un resumen de la funcionalidad básica del simulador ModelSim. Model Sim permite muchas cosas más. Puede encontrar más información en Help->PDF Documentation->Tutorial

Más detalles

TPC N 2: Entradas y Salidas de propósito general

TPC N 2: Entradas y Salidas de propósito general TPC N 2: Entradas y Salidas de propósito general Los ejercicios pertenecientes a esta guía se resuelven en su totalidad mediante la utilización de la placa de expansión 1 Función Puerto net LED1 P07 Expansion0

Más detalles

Circuitos combinacionales. Funciones integradas

Circuitos combinacionales. Funciones integradas Circuitos combinacionales. Funciones integradas Salvador Marcos González salvador.marcos@uah.es Funciones integradas Introducción La introducción en el diseño de sistemas digitales de circuitos MSI (media

Más detalles

REPÚBLICA BOLIVARIANA DE VENEZUELA UNIVERSIDAD BICENTENARIA DE ARAGUA SECRETARIA DIRECCIÓN DE ADMISIÓN Y CONTROL DE ESTUDIOS

REPÚBLICA BOLIVARIANA DE VENEZUELA UNIVERSIDAD BICENTENARIA DE ARAGUA SECRETARIA DIRECCIÓN DE ADMISIÓN Y CONTROL DE ESTUDIOS REPÚBLICA BOLIVARIANA DE VENEZUELA UNIVERSIDAD BICENTENARIA DE ARAGUA SECRETARIA DIRECCIÓN DE ADMISIÓN Y CONTROL DE ESTUDIOS Carrera: Ingeniería Eléctrica Semestre: IX Unidad Curricular: Diseño Digital

Más detalles

Electrónica Digital: Sistemas Numéricos y Algebra de Boole

Electrónica Digital: Sistemas Numéricos y Algebra de Boole Electrónica Digital: Sistemas Numéricos y Algebra de Boole Profesor: Ing. Andrés Felipe Suárez Sánchez Grupo de Investigación en Percepción y Sistemas Inteligentes. Email: andres.suarez@correounivalle.edu.co

Más detalles

Práctica I.- Introducción a los Sistemas Secuenciales

Práctica I.- Introducción a los Sistemas Secuenciales Práctica I.- Introducción a los Sistemas Secuenciales 1.1.- Objetivos. El objetivo de esta práctica consiste en consolidar las diferencias entre sistemas combinacionales y secuenciales 1.2.- Problema.

Más detalles

Práctica 7. Circuitos Contadores

Práctica 7. Circuitos Contadores I. Ejercicios teóricos Práctica 7. Circuitos Contadores 1. Dibujar el circuito equivalente al 7490 y sobre él, aplicar las conexiones que se indican, evaluar el circuito y obtener el cronograma de la señal

Más detalles

PRÁCTICAS DE CIRCUITOS LÓGICOS PRÁCTICA 8

PRÁCTICAS DE CIRCUITOS LÓGICOS PRÁCTICA 8 PRÁCTICAS DE CIRCUITOS LÓGICOS PRÁCTICA 8 NOMBRE DE LA PRACTICA: Sumador y Restador. COMPETENCIA DE LA PRÁCTICA: El alumno comprobará el funcionamiento del diseño de un semisumador, un sumador completo,

Más detalles

Objetos de VHDL.! Un objeto en VHDL es un elemento que contiene. un valor de tipo específico de dato

Objetos de VHDL.! Un objeto en VHDL es un elemento que contiene. un valor de tipo específico de dato Objetos de VHDL! Un objeto en VHDL es un elemento que contiene un valor de tipo específico de dato Objetos que se pueden manipular en VHDL y sus tipos -3 clases principales de objetos: SEÑALES: similares

Más detalles