INSTITUTO DE ELECTRÓNICA Y COMPUTACIÓN

Tamaño: px
Comenzar la demostración a partir de la página:

Download "INSTITUTO DE ELECTRÓNICA Y COMPUTACIÓN"

Transcripción

1 INSTITUTO DE ELECTRÓNICA Y COMPUTACIÓN SISTEMAS DIGITALES Tutorial para el diseño y simulación de un circuito digital con VHDL, síntesis e implementación en un FPGA Alumno : Grupo : Prof. M. C. Felipe Santiago Espinosa Octubre de 2004

2 Tutorial para el diseño y simulación de un circuito digital con VHDL, síntesis e implementación en un FPGA 1. Introducción En este documento se presentan de manera detallada los pasos a seguir desde el planteamiento de un circuito digital hasta su puesta en marcha y verificación en una tarjeta de desarrollo Digilab 2SB-IO4, el ejemplo que se desarrolla es bastante simple por que el objetivo del tutorial es ilustrar el proceso y no resolver un problema complejo. 2. Planteamiento del problema Se desea diseñar e implementar un comparador de 4 bits: Un circuito que como entradas reciba dos números A y B de 4 bits y como salidas indique si A > B, A < B o A = B, esto se muestra en la figura siguiente: 4 Bits 4 Bits A B A > B A = B A < B 3. Diseño con VHDL Para el diseño y simulación se utilizará la herramienta Active-HDL versión 6.2, desarrollada por Aldec, cuyo Icono de acceso directo se muestra a continuación: Este programa organiza los diseños en espacios de trabajo (workspace) si es la primera vez que se ejecuta, deberá crearse un nuevo espacio de trabajo, en caso contrario puede usarse un espacio de trabajo existente. En la figura siguiente se observa como aunque existan algunos espacios de trabajo, siempre es posible iniciar con uno nuevo. 1

3 Un espacio de trabajo puede contener diversos diseños independientes. Si es la primera vez que trabaja con esta herramienta, es conveniente iniciar con un nuevo espacio de trabajo propio. Al cual deberá asignársele un nombre, en este caso se le puso tutorial : Si se abre un espacio de trabajo existente, automáticamente será abierto el último diseño elaborado. Para los espacios de trabajo nuevos, se presentará el asistente para iniciar con nuevos diseños, el cual inicia con la figura siguiente: 2

4 La primera opción se usa cuando ya se cuenta con módulos que se agregarán al diseño. La segunda es para importar un diseño completo. La última opción es necesaria para nuevos diseños, para este caso es la opción que debe seleccionarse y después pasar al paso siguiente. Posteriormente se deben definir algunas características del diseño de acuerdo con las herramientas que se cuenten para síntesis y al tipo de FPGA sobre el cual se realizará la descarga, de acuerdo con las herramientas con las que se cuenta en la UTM, las opciones a elegir se muestran en la figura siguiente: Finalmente deberá escribirse el nombre del diseño, para este caso fue comparador : A continuación se puede disponer del ambiente de trabajo completo de la herramienta que tiene un aspecto como el que se muestra en la figura siguiente: 3

5 A la izquierda se observa un navegador en el que se puede seleccionar una de las 3 opciones siguientes (con sus cejas inferiores): Archivos (File) Se muestra una estructura jerárquica, un espacio de trabajo puede contener varios diseños y un diseño a la vez puede contener varios módulos (Archivos con código VHDL). Estructura (Structure) Tiene sentido una vez que los módulos han sido compilados, muestra la organización del diseño, así como las señales internas, externas y variables de cada uno de sus módulos, esta opción es útil para simulación. Recursos (Recursos) Muestra la organización en directorios de todos los elementos que se agreguen al diseño activo. En la parte inferior se tiene una consola en la que aparecerán mensajes de acuerdo a las actividades realizadas por el usuario. Es solo con carácter informativo, no es posible introducir información a través de esta consola. A la derecha está el área de trabajo, aquí se pueden mostrar los elementos que integren al diseño. Archivos con código VHDL, ventanas de simulación, diagramas de bloques, etc. Basta dar un doble click dentro del navegador para observar el contenido de un archivo y poder modificarlo. También se muestra al flujo de diseño (design flow) con el que es posible insertar módulos al diseño, preparar su simulación, realizar su síntesis, etc. En la figura siguiente se observa el flujo de diseño, en la parte superior se tienen tres botones para agregar nuevos módulos al diseño, éstos pueden ser: Módulos con código HDL, diagramas a Bloques (para módulos con mayor jerarquía) y diagramas de estado. 4

6 El comparador será descrito con código VHDL, de manera que se procede con un click en el botón HDE. La herramienta permite seleccionar entre dos tipos diferentes de HDLs: Se selecciona VHDL y se avanza con un click en OK. Nuevamente un asistente permite iniciar con el nuevo módulo, se deja activa la opción de que el código generado se integre al proyecto y se avanza. 5

7 A continuación se debe escribir el nombre del archivo a crear y de manera opcional el nombre de la entidad y de la arquitectura, si estos se omiten, todos los campos tomarán el mismo nombre, que se decidió fuera comp4b. Luego deben insertarse una a una las señales que formarán parte de la entidad (interfaz), la inserción de una señal comienza con un click en el botón new, luego se le pone un nombre, si es un vector su tamaño se determina con los índices y debe definirse su modo (entrada o salida). El proceso debe repetirse con cada una de las señales, de acuerdo a las especificaciones del diseño. 6

8 Al concluir con la inserción de señales se presiona el botón finalizar, con lo que se creará una plantilla del módulo en un archivo que es agregado al diseño (se observa en el navegador) y éste es automáticamente abierto en el área de trabajo. Los comentarios de la plantilla pueden completarse para una adecuada documentación. En este momento ya se tiene descrita la entidad (la interfaz del módulo) y falta por describir su arquitectura para determinar su comportamiento. Nota: Si se quiere modificar la entidad, para agregar una nueva señal, eliminar una existente o modificar algún parámetro, debe hacerse manualmente, respetando la sintaxis de VHDL, no es posible regresar al asistente anterior. El módulo a desarrollar es un comparador de 4 bits, de manera que su comportamiento puede determinarse por el proceso siguiente: process( A, B ) begin mayor <= 0 ; menor <= 0 ; igual <= 0 ; if A > B then mayor <= 1 ; elsif A < B then menor <= 1 ; else igual <= 1 ; end if; end process; Existen otras alternativas, sin embargo la descripción funcional es mas entendible por que refleja directamente la solución del problema. Nota: Después de la palabra process se coloca la lista de sensitividad, son señales que activarán la ejecución del proceso, cuando ocurra un cambio en cualquiera de ellas. 7

9 Este código debe insertarse en la arquitectura, sustituyendo al comentario: -- enter your statements here -- Una vez insertado el código, se verifica su sintaxis realizando su compilación. Para ello se selecciona al archivo y se presiona al botón compilar. Otra alternativa consiste en obtener un menú contextual dando un click derecho en el archivo y seleccionar la opción de compilación (compile). Si no hay errores de sintaxis, el archivo tendrá otro marcador indicando su estado y la consola reflejará el éxito de la compilación. En caso de que hubiera errores, el archivo en el navegador quedará marcado de manera diferente y los errores se mostrarán en la consola. Los errores deben resolverse considerando la sintaxis del lenguaje. 4. Simulación Una vez realizada la compilación de un módulo es posible simularlo. La simulación se inicializa seleccionando la opción Initialize Simulation en el menú Simulation En este caso se trata de un solo módulo y no existen jerarquías, cuando se trata de un diseño con mas módulos, a través de un cuadro de diálogo debe determinarse cual es el de mayor jerarquía. 8

10 Al iniciar la simulación, el navegador indica cual es el módulo de mayor jerarquía y conmuta automáticamente las cejas inferiores para mostrar la estructura de los módulos. Si se expande alguno de los módulos, podrán verse las señales que contiene: Para simular se requiere agregar una nueva forma de onda (new Waveform) dando un click al botón, aparecerá una ventana de señales vacía, similar a la siguiente: Las señales se agregan activando el menú Waveform y seleccionando la opción Add Signals, con lo que se obtiene el cuadro de dialogo: 9

11 Una alternativa más simple consiste en seleccionar las señales del navegador y arrastrarlas a la ventana de señales, en ambos casos el resultado será el siguiente: Además del nombre de las señales, se tienen una columna con su valor y otra indicando el tipo de estímulo. A la derecha hay una zona donde se mostrará el comportamiento temporal de cada señal. No hay que olvidar que se está simulando hardware y para probar un elemento de hardware es necesario ingresar algunas señales a las entradas y observar las salidas, con ello se evalúa si la funcionalidad del módulo es o no correcta. Las señales de entrada son proporcionadas a través de estímulos, existen estímulos de diferentes tipos, adecuados para diferentes tipos de señales. Los estímulos se obtienen al dar un click derecho a una señal de entrada, con ello aparece un menú contextual en el cual debe seleccionarse la opción estímulos ( Stimulators ). Con esto se obtiene un cuadro de dialogo en el cual de izquierda a derecha muestra: La señal bajo consideración, la lista de estímulos y las características propias de cada tipo de estímulos (estás se obtienen al seleccionar un estímulo particular y en algunos casos es necesario introducir algunos parámetros). Para el comparador de 4 bits, puesto que A y B son vectores, para ambas señales se elegirán estímulos tipo contador, sólo que para observar la activación de las diferentes salidas A será ascendente y B descendente. 10

12 Una vez que se selecciona el tipo de estímulo y se determinan sus parámetros, debe presionarse el botón Aplicar (Apply) de lo contrario los estímulos no serán considerados. No es necesario cerrar la ventana de estímulos y abrirla para una nueva señal, es suficiente dar un click a la señal y ésta se incorporará a la ventana de estímulos. Una vez definidos todos los estímulos debe cerrarse la ventana de estímulos (Close). Con esto se han establecido todas las condiciones de simulación, la cual se realiza con los botones, el primero de ellos (Run until) permite simular hasta que se alcance un tiempo dado, éste se introduce en un cuadro de diálogo que aparece después de que el botón se presiona. El segundo botón (Run for) realiza la simulación por el tiempo indicado en el cuadro de edición contiguo, este tiempo puede modificarse para proporcionar diferentes intervalos de simulación. 11

13 El último botón (Restart) ignora los eventos transcurridos para iniciar nuevamente con la simulación. Las señales se generan conforme se avanza en la simulación, las entradas están dadas por los estimuladores y las salidas son una función de las entradas. Para una mejor visualización de las señales, pueden usarse los botones: cuya respectiva función es: Zoom In, Zoom Out, Zoom to Fit y Zoom Range. Para propósitos de documentación, es posible agregar comentarios con explicaciones, esto se consigue al dar un click derecho y elegir la opción Insertar Comentario (Insert Comment) del menú contextual. Una vez realizada la simulación, puede garantizarse que el módulo funciona y se procede a la Síntesis e Implementación. Pero antes, habrá que detener la simulación seleccionando la opción End Simulation en el menú Simulation 12

14 5. Síntesis La versión 6.2 de Active-HDL proporciona un ambiente desde el cual es posible realizar la síntesis e implementación de un diseño (esto se observa en el flujo de diseño), para ello invoca a las herramientas de Xilinx. Sin embargo, lo mas adecuado es manipular directamente las herramientas de Xilinx desde un Ambiente Integrado de Software ( Integrated Software Environment ISE ) el cual es una suite de herramientas manipuladas desde el Navegador de Proyectos (Project Navigator). Por tanto, en este momento es posible cerrar al Active-HDL respaldando la ventana de señales solo para documentación y abrir el Project Navigator, cuyo icono de acceso directo es: Al ejecutar este programa se mostrará el Tip del Día y luego se abrirá el último proyecto en el que se haya trabajado. Es necesario iniciar con un nuevo proyecto seleccionando la opción New Project en el menú File, con esto se obtendrá el cuadro de diálogo similar al siguiente: Primero debe seleccionarse la ubicación del proyecto en disco y luego asignársele un nombre. Esto para que el proyecto quede ubicado en una carpeta con el mismo nombre. Para el tipo de módulo superior se mantiene HDL por que se trabajará con el archivo previamente desarrollado para el comparador. Nota: El nombre del proyecto debe ser diferente al de los módulos HDL para evitar conflictos de nombres al momento de hacer la síntesis e implementación. 13

15 Una vez que se introduzcan estos datos se procede con el paso siguiente. En el cual se determinarán algunas propiedades de acuerdo al FPGA en el que se vaya a realizar la descarga. Los valores seleccionados corresponden al FPGA que está integrado en las tarjetas de desarrollo existentes en la UTM. El paso siguiente es para empezar con nuevos archivos con código fuente. 14

16 Se avanza al paso siguiente por que se trabajará con un archivo previamente elaborado. Presionando el botón Add Source se explora para encontrar el archivo que contiene al código VHDL con la descripción del Comparador (Comp4b.vhd), el cual debe estar en la ruta C:\My_Designs\tutorial\comparados\src, se selecciona y se indica que es un módulo VHDL. Si el proyecto tuviera mas módulos, todos se insertarían de manera similar. Antes de avanzar al paso siguiente es necesario asegurarse que la opción Copy to Project está seleccionada. 15

17 El paso siguiente muestra un resumen con la información del proyecto, si todo está bien se finaliza con la creación del proyecto (Botón Finalizar). En el ambiente del Project Navigator se distinguen cuatro áreas, en la parte superior izquierda se muestran los diferentes archivos fuente que integran al proyecto, a manera de un árbol. Si el proyecto estuviera formado por varios módulos, en este árbol se ubicarían de acuerdo a sus niveles jerárquicos. Por debajo de esta zona está una lista de procesos aplicable al archivo seleccionado, de acuerdo con su tipo, a los archivos se les pueden aplicar diferentes procesos. 16

18 A la derecha está un área en donde serán abiertos los diferentes archivos fuente o reportes que se generen. Por ejemplo, para abrir el archivo Comp4b.vhd basta con darle un doble click. En la parte inferior se encuentra la consola de mensajes indicando las diferentes actividades que se están realizando. Para la Síntesis del proyecto basta con seleccionar el archivo Comp4b.vhd y en la ventana de procesos buscar el proceso Synthesize XST y darle un doble click para ejecutarlo. Una vez finalizado el proceso, es posible observar los componentes a los cuales es sintetizado el módulo en una lógica de transferencia de registro (RTL), para ello se da un doble click al proceso View RTL Shematic. Con esto se ejecuta una aplicación denominada Xilinx ECS ( Engineering Capture System ), en la cual se puede navegar con los botones para observar el circuito sintetizado a diferentes niveles de detalle. Al nivel mas alto: Observando internamente (un nivel mas bajo) : 17

19 Estos diagramas permiten evaluar la funcionalidad del circuito y son útiles para documentación. En este momento el programa Xilinx ECF puede cerrarse, su uso permitió garantizar que la síntesis se hizo de manera satisfactoria. 6. Acondicionamiento para la implementación. Como pudo observarse en los diagramas anteriores, el código VHDL ha sido sintetizado satisfactoriamente en hardware, ahora habrá que tomar algunas consideraciones para poder llevar a cabo su implementación. El circuito será descargado en un FPGA XC2S200 de Xilinx, el cual está en una tarjeta Digilab 2SB & I/O 4 que se muestra a continuación: Digilent es la empresa que manufactura estas tarjetas de desarrollo y a todos sus tarjetas las denomina como Digilab ( 2SB es la serie de la tarjeta con un FPGA Spartan II e I/O 4 es la serie de la tarjeta con elementos de entrada/salida necesarios para evaluar al FPGA. La tarjeta 2SB tiene conectores cuyas terminales están conectadas al FPGA y en algunos de esos conectores se ha conectado a la tarjeta I/O 4, la cual cuenta con interruptores y botones para entrada de datos y LEDs y desplegadores de 7 segmentos para salidas. Para la implementación del comparador, es de esperarse que las entradas A y B se obtengan de los interruptores y las salidas (Mayor, Menor e Igual) se muestren en los LEDs. Se requiere conocer el número de terminal del FPGA a la cual se conecta cada elemento de la tarjeta. En la tabla siguiente se muestra a que terminal se conecta cada uno de los elementos de la tarjeta I/O 4 en uno de los puertos, el puerto correspondiente en la tarjeta D2SB, el número de terminal en esa tarjeta y la correspondiente terminal en el FPGA. Está información fue obtenida de los manuales de ambas tarjetas ( 18

20 DIO4 CONECTOR CONECTOR 2SB FPGA SALIDAS EN INDICADORES TIPO LED LED 1 35 P1 A LED 2 33 P1 A LED 3 31 P1 A LED 4 29 P1 A LED 5 27 P1 A LED 6 25 P1 A LED 7 23 P1 A LED 8 21 P1 A HAB GLOBAL 22 P1 A HABILITADORES DE LOS DESPLEGADORES DE 7 SEGMENTOS AN1 15 P1 A AN2 16 P1 A AN3 13 P1 A AN4 14 P1 A SEGMENTOS COMUNES A TODOS LOS DESPLEGADORES DE 7 SEGMENTOS CA 35 P2 A CB 33 P2 A CC 31 P2 A CD 29 P2 A CE 27 P2 A CF 25 P2 A CG 23 P2 A DP 21 P2 A BOTONES BOTON 1 22 P2 A BOTON 2 19 P2 A BOTON 3 20 P1 A BOTON 4 17 P1 A BOTON 5 18 P1 A INTERRUPTORES SWITCH 1 38 P2 A SWITCH 2 36 P2 A SWITCH 3 34 P2 A SWITCH 4 32 P2 A SWITCH 5 30 P2 A SWITCH 6 28 P2 A SWITCH 7 26 P2 A SWITCH 8 24 P2 A PUERTO PS2 PS2D 17 P2 A PS2C 20 P2 A PUERTO VGA RED 16 P2 A GREEN 15 P2 A BLUE 18 P2 A HOR SYNC 14 P2 A VER SYNC 13 P2 A EN LA TARJETA (2SB) PUSH BUTTON 187 LED 154 OSC. 50 MHZ

21 En la tabla anterior se observa que los LEDs tienen un habilitador global, en este momento en el diseño no hay alguna salida para esta habilitación, se agregará modificando directamente el código VHDL en el Project Navigator (al darle un doble click al archivo com4b.vhd en la sección Sources in Project, el archivo es abierto para su modificación). En la entidad se agregará un nuevo puerto, con la siguiente declaración: HabGlob : out STD_LOGIC; Y en la arquitectura se asignará un nivel alto a esta señal para una habilitación permanente: HabGlob <= 1 ; Esto se hace fuera del proceso. El código completo quedará como: Con estos cambios el estado de la síntesis es desconocido: y es necesario ejecutar nuevamente este proceso (aplicándole un doble click) para actualizar al hardware. Cuando el proceso finaliza su estado se actualiza: y es posible ver los cambios del hardware ejecutando el proceso View RTL Shematic. 20

22 En la figura anterior se muestra que se ha agregado la nueva señal y en la figura siguiente, al explorar en un nivel mas bajo, se observa que siempre tendrá un valor constante. Puede cerrarse el programa Xilinx ECF. Para la asignación de terminales es necesario agregar un archivo de restricciones (archivo con extensión UCF), para ello, en cualquier parte del área de Sources in Project dar un click derecho y en el menú contextual seleccionar la opción New Source Dentro de los diferentes tipos de archivo que pueden insertarse seleccionar Implementation Constraints File y asignarle un nombre. 21

23 Al avanzar se debe seleccionar el módulo con el cual se asignarán las restricciones, en este caso sólo se tiene un módulo en el diseño, si hubiera mas habría que seleccionar al de mayor jerarquía. Luego solo se muestra información sobre este nuevo archivo y se finaliza. Con esto aparece un nuevo archivo en el área Sources in Project. 22

24 Para modificarlo habrá que seleccionarlo dentro del área Sources in Project, con ello en el área Processes for Source se mostrarán los procesos aplicables al archivo. Al dar un doble click al proceso Edit Constraints (Text) el archivo es abierto en el área de trabajo y es posible escribir la asignación de terminales. La asignación de terminales obedece la sintaxis siguiente: NET nombre de la señal LOC = PXXX; donde NET y LOC son palabras reservadas. XXX es el número de terminal en el FPGA. Cuando se trata de un vector cada elemento se indica entre los símbolo < >. Así, el archivo terminales.ucf debe modificarse para quedar como: El símbolo # permite insertar comentarios en los archivos de restricciones. Al salvar el archivo se tiene al diseño acondicionado para la tarjeta de desarrollo a usar, por lo que debe procederse con la implementación. Nota: El archivo con restricciones (UCF) puede realizarse con cualquier editor de textos y de esta forma, después del click derecho habría que seleccionar la opción Add Source, en lugar de New Source, buscar al archivo y asociarlo con el que le corresponda del código VHDL. 23

25 7. Implementación. La implementación es un proceso que involucra varios pasos: Trasladar (Traslate), mapeo (Map) y colocación y ruteo (Place & Route). Sin embargo esto lo realiza la herramienta de manera automática. Para ello es necesario seleccionar el archivo comp4b en el área Sources in Project, con ello se abrirán los procesos aplicables al archivo en el área Processes for Source, buscar al proceso Implement Design y darle un doble click para ejecutarlo. En la figura anterior se observa que la implementación fue realizada con Warnings, esto normalmente ocurrirá en diseños combinacionales por que no se está utilizando una señal de reloj explícita. En el reporte del Mapeo (Report Map) es posible conocer los recursos que está usando el diseño dentro del FPGA, entre los cuales destacan: Number of 4 input LUTs: 9 out of 4,704 1% Number of occupied Slices: 5 out of 2,352 1% Number of bonded IOBs: 12 out of 142 8% Y con el reporte Text-Based Post-Place & Route Static Timing Report el cual se puede ver al expadir al proceso Generate Post-Place & Route Static Timing, es posible saber cual es el retardo mas grande que existe para las salidas, a partir de las entradas. En este caso el retardo mayor se da de la entrada A<0> a la salida menor y es de ns. 24

26 Es posible explorar el interior del FPGA para observar los recursos que está ocupando un diseño, esto se consigue al dar un doble click al proceso View/Edit Routed Design (FPGA Editor), con esto se ejecutará al programa Xilinx FPGA Editor, el cual mostrará un aspecto como el de la figura siguiente: La parte en Azul es la que esta ocupando el diseño dentro del dispositivo. Se pueden hacer acercamientos o bien dar un click a los diferentes bloques para observar su contenido. Una vez que se ha observado que la implementación se realizó satisfactoriamente, es posible cerrar este programa (Xilinx FPGA Editor) para continuar. 8. Generación del archivo de configuración y prueba del diseño en el FPGA Dentro de los procesos asociados al diseño (los cuales se obtienen al seleccionarlo), se encuentra el proceso Generate Programming File ubicado después del proceso de implementación. 25

27 Antes de ejecutar este proceso es necesario definir uno de sus parámetros, para ello habrá que darle un click derecho y seleccionar la opción propiedades en el menú contextual, Con ello se abrirá una ventana similar a: En las opciones que se presentan con las cejas superiores seleccionar Startup Options y cambiar el valor de la propiedad FPGA Start-Up Clock para que tenga: JTAG Clock. Después del cambio debe presionarse el botón Aceptar. Este cambio es necesario para la programación del FPGA, la cual se hará mediante un puerto conocido como JTAG y por lo tanto se requiere que de ahí llegue la señal de reloj. Una vez hecho esto es posible ejecutar el proceso Generate Programming File aplicándole un doble click. 26

28 Si el proceso se realiza con éxito, esto se indicará en el estado del mismo: Para poder programar al FPGA es necesario : a) Alimentar la tarjeta de desarrollo Para ello debe conectarse la fuente de alimentación de 6 V que es proporcionada con la misma tarjeta. b) Conectar el cable JTAG El cual es similar al de la figura siguiente: Un extremo se conecta en el puerto paralelo de la PC y el otro en el puerto JTAG de la tarjeta, tanto en el cable como en la tarjeta están indicados los nombres de las señales, cualquier conexión errónea dañará la tarjeta. La programación se realizará con el programa impact el cual se ejecuta con un doble click en el proceso Configure Device (impact): El programa inicia solicitando algunos parámetros, en el primer paso se deja la opción predefinida, por que es el mecanismo por medio del cual se programará al dispositivo. 27

29 En el paso siguiente se selecciona la opción Enter a Boundary-Scan Chain, por que es mas rápido indicar cual es la cadena de configuración, en relación a que se identifique automáticamente. En el cuadro de diálogo que aparece después de finalizar, se selecciona al archivo de configuración comp4b.bit y se procede presionando el botón Abrir. Hecho esto el programa impact será abierto mostrando un aspecto como el siguiente: 28

30 Debe darse un click derecho al dispositivo para obtener el menú contextual en el que se seleccionará la opción Programar (Program...). Con esto aparecerá un cuadro de diálogo con las opciones de programación similar al de la figura siguiente, en éste se dejarán las opciones predeterminadas y se presionará OK. Con ello se iniciará la descarga en el FPGA apareciendo una barra indicando el avance en la ejecución de la misma, con este proceso, cualquier posible configuración previa del FPGA será remplazada por la nueva configuración. 29

31 Una vez concluida la descarga, el programa impact indicará que la programación se realizó con éxito, a través de un mensaje como el de la figura siguiente: Si el mensaje Programming Succeeded no aparece las causas pueden ser diversas: La tarjeta puede estar desconectada Se seleccionó un FPGA que no corresponde con el de la tarjeta El empaque seleccionado no es el empaque del FPGA El puerto paralelo de la PC no funciona correctamente El FPGA no funciona correctamente Después de la programación, el programa impact puede cerrarse así como también el Project Navegator. El cable JTAG puede retirarse. El diseño puede evaluarse modificando las entradas desde los interruptores para observar las salidas en los LEDs. Cabe aclarar que los recursos programables en el FPGA están basados en tecnología RAM, por lo que si se retira la alimentación, el programa se perderá. La tarjeta cuenta con una base para una memoria tipo FLASH, desde la cual es posible que el FPGA obtenga su programación en el momento en que es suministrada la energía, desafortunadamente en este momento se carece de dichas memorias. 9. Conclusión Se ha revisado de manera detallada el proceso a seguir para implementar sistemas digitales en FPGAs con ayuda de VHDL, para otro tipo de tarjetas u otro tipo de FPGAs solo debe hacerse la selección adecuada. Para otros fabricantes, ajenos a Xilinx, deberán usarse las herramientas adecuadas para síntesis, implementación y descarga. El diseño y simulación en VHDL son independientes del dispositivo a usar para la implementación. 30

32 10. Ejercicio de repaso Para repasar el tutorial, diseñar e implementar un circuito convertidor de binario a BCD, como se muestra en la figura siguiente: 6 Bits Entrada Binaria Salida en BCD Decenas Unidades 4 Bits 4 Bits Con 6 bits se alcanzan hasta 64 combinaciones, éstas deben separarse en unidades y decenas. Por simplicidad usar solo comparaciones y operaciones básicas como sumas y restas. Para ello será necesario incluir la biblioteca unsigned, de la siguiente manera: use IEEE.std_logic_unsigned.all; Las entradas deberán tomarse de los interruptores y las salidas enviarse a los LEDs. Es necesario comenzar con un nuevo diseño, aunque puede manejarse dentro del mismo espacio de trabajo. Relizar un reporte con los siguientes puntos: a) Objetivo del diseño. b) Código VHDL. c) Diagramas con simulaciones (agregando comentarios descriptivos). d) Esquemáticos generados con el programa Xilinx ECF (nivel superior y siguiente). e) Contenido del archivo de restricciones (UCF). f) Reporte de recursos usados, así como frecuencia máxima de operación del dispositivo. g) Diagrama mostrando los recursos usados en el FPGA. h) Descripción de la pruebas en la tarjeta. i) Conclusiones. Nota: Puede considerarse la salida de habilitación de los LEDs desde el momento en que se realice el código VHDL, con ello se evitarán modificaciones futuras. 31

INSTITUTO DE ELECTRÓNICA Y COMPUTACIÓN

INSTITUTO DE ELECTRÓNICA Y COMPUTACIÓN INSTITUTO DE ELECTRÓNICA Y COMPUTACIÓN SISTEMAS DIGITALES Tutorial para el Diseño y Simulación de un circuito digital con VHDL, Síntesis e Implementación en un FPGA Profesor: M. C. Felipe Santiago Espinosa

Más detalles

Configuración de un proyecto en Project Navigator para la utilización del FPGA Spartan 3

Configuración de un proyecto en Project Navigator para la utilización del FPGA Spartan 3 Configuración de un proyecto en Project Navigator para la utilización del FPGA Spartan 3 En este documento se mostrará el procedimiento para crear un proyecto en el software Project Navigator de Xilinx

Más detalles

Operación Microsoft Access 97

Operación Microsoft Access 97 Trabajar con Controles Características de los controles Un control es un objeto gráfico, como por ejemplo un cuadro de texto, un botón de comando o un rectángulo que se coloca en un formulario o informe

Más detalles

Sistema de Gestión Portuaria Sistema de Gestión Portuaria Uso General del Sistema

Sistema de Gestión Portuaria Sistema de Gestión Portuaria Uso General del Sistema Sistema de Gestión Portuaria Uso General del Sistema Uso General del Sistema Página 1 de 21 Contenido Contenido... 2 1.Ingreso al Sistema... 3 2.Uso del Menú... 6 3.Visualizar Novedades del Sistema...

Más detalles

Microsoft Access proporciona dos métodos para crear una Base de datos.

Microsoft Access proporciona dos métodos para crear una Base de datos. Operaciones básicas con Base de datos Crear una Base de datos Microsoft Access proporciona dos métodos para crear una Base de datos. Se puede crear una base de datos en blanco y agregarle más tarde las

Más detalles

Configuración de un proyecto en Project Navigator para la utilización del FPGA Spartan 3E

Configuración de un proyecto en Project Navigator para la utilización del FPGA Spartan 3E Configuración de un proyecto en Project Navigator para la utilización del FPGA Spartan 3E En este documento se mostrará el procedimiento para crear un proyecto en el software Project Navigator de Xilinx

Más detalles

PROYECTOS, FORMULACIÓN Y CRITERIOS DE EVALUACIÓN

PROYECTOS, FORMULACIÓN Y CRITERIOS DE EVALUACIÓN PROYECTOS, FORMULACIÓN Y CRITERIOS DE EVALUACIÓN GESTIÓN DE PROYECTOS CON PLANNER AVC APOYO VIRTUAL PARA EL CONOCIMIENTO GESTIÓN DE PROYECTOS CON PLANNER Planner es una poderosa herramienta de software

Más detalles

Activación de un Escritorio Remoto

Activación de un Escritorio Remoto Activación de un Escritorio Remoto La activación de un Escritorio Remoto se realiza en dos fases, en la primera se habilita a un Usuario de un ordenador para que pueda admitir una conexión remota, la segunda

Más detalles

GESTIÓN DOCUMENTAL PARA EL SISTEMA DE CALIDAD

GESTIÓN DOCUMENTAL PARA EL SISTEMA DE CALIDAD GESTIÓN DOCUMENTAL PARA EL SISTEMA DE CALIDAD Manual de usuario 1 - ÍNDICE 1 - ÍNDICE... 2 2 - INTRODUCCIÓN... 3 3 - SELECCIÓN CARPETA TRABAJO... 4 3.1 CÓMO CAMBIAR DE EMPRESA O DE CARPETA DE TRABAJO?...

Más detalles

Laboratorio de Dispositivos Integrados Especializados / Diseño de Circuitos y Sistemas Electrónicos

Laboratorio de Dispositivos Integrados Especializados / Diseño de Circuitos y Sistemas Electrónicos Práctica 1 Tutorial Objetivo Usando un diseño especialmente simple, seguir con él el flujo básico, descargando el diseño sobre la placa y verificando en ella su funcionamiento. Circuito utilizado Se trata

Más detalles

WINDOWS. Iniciando Windows. El mouse

WINDOWS. Iniciando Windows. El mouse Windows es un sistema operativo, cuyo nombre lo debe al principal elemento de trabajo, la ventana - en inglés window -. Este tiene características como: Multitarea: durante una sesión de trabajo, es posible

Más detalles

Instalación del Admin CFDI

Instalación del Admin CFDI Instalación del Admin CFDI Importante!!!Antes de comenzar verifique los requerimientos de equipo esto podrá verlo en la guía 517 en nuestro portal www.control2000.com.mx en el apartado de soporte, ahí

Más detalles

SISTEMA DE REGISTRO DE TRANSACCIONES BURSATILES BAGSA MANUAL DE USUARIO

SISTEMA DE REGISTRO DE TRANSACCIONES BURSATILES BAGSA MANUAL DE USUARIO SISTEMA DE REGISTRO DE TRANSACCIONES BURSATILES BAGSA MANUAL DE USUARIO Consideraciones Iniciales I. El sistema está desarrollado bajo un entorno web por lo que puede ser accedido desde cualquier cliente

Más detalles

CREACIÓN DEL PRIMER PROYECTO EN mikrobasic PRO for AVR

CREACIÓN DEL PRIMER PROYECTO EN mikrobasic PRO for AVR CREACIÓN DEL PRIMER PROYECTO EN mikrobasic PRO for AVR 2 Proyecto mikrobasic PRO for AVR organiza aplicaciones en los proyectos que consisten en un solo fichero de proyecto (fichero con extensión.mbpav)

Más detalles

Guía Rápida de Inicio

Guía Rápida de Inicio Guía Rápida de Inicio 1. Acerca de esta Guía Esta guía le ayudará a instalar y dar los primeros pasos con BitDefender Security for SharePoint. Para disponer de instrucciones detalladas, por favor, diríjase

Más detalles

Capítulo 9. Archivos de sintaxis

Capítulo 9. Archivos de sintaxis Capítulo 9 Archivos de sintaxis El SPSS permite generar y editar archivos de texto con sintaxis SPSS, es decir, archivos de texto con instrucciones de programación en un lenguaje propio del SPSS. Esta

Más detalles

Fundamentos CAPÍTULO 1. Contenido

Fundamentos CAPÍTULO 1. Contenido CAPÍTULO 1 Fundamentos En este capítulo encontrará instrucciones rápidas y sencillas que le permitirán poner manos a la obra de inmediato. Aprenderá también a utilizar la ayuda en pantalla, que le será

Más detalles

Notas para la instalación de un lector de tarjetas inteligentes.

Notas para la instalación de un lector de tarjetas inteligentes. Notas para la instalación de un lector de tarjetas inteligentes. Índice 0. Obtención de todo lo necesario para la instalación. 3 1. Comprobación del estado del servicio Tarjeta inteligente. 4 2. Instalación

Más detalles

WINDOWS 2008 5: TERMINAL SERVER

WINDOWS 2008 5: TERMINAL SERVER WINDOWS 2008 5: TERMINAL SERVER 1.- INTRODUCCION: Terminal Server proporciona una interfaz de usuario gráfica de Windows a equipos remotos a través de conexiones en una red local o a través de Internet.

Más detalles

Inmediatamente el siguiente cuadro se desplegará:

Inmediatamente el siguiente cuadro se desplegará: SimulAr : Guía Completa de Instalación El primer paso a seguir es determinar cuáll es la versión de SimulAr a descargar del sitio web. Existen diferentes versiones de acuerdo a las versiones de Windows

Más detalles

Accede a su DISCO Virtual del mismo modo como lo Hace a su disco duro, a través de:

Accede a su DISCO Virtual del mismo modo como lo Hace a su disco duro, a través de: Gemelo Backup Online DESKTOP Manual DISCO VIRTUAL Es un Disco que se encuentra en su PC junto a las unidades de discos locales. La información aquí existente es la misma que usted ha respaldado con su

Más detalles

VideoSoftPHONE Active Contact

VideoSoftPHONE Active Contact VideoSoftPHONE Active Contact 1 ÍNDICE 1. CÓMO INSTALAR MI VIDEOSOFTPHONE SOFTWARE?... 1 1.1. REQUISITOS PREVIOS... 1 1.1.1. Requisitos del sistema... 1 1.1.2. Requisitos Software... 1 1.2. INSTALACIÓN...

Más detalles

Apéndice 5 Manual de usuario de ColeXión. ColeXión 1.0. Manual de usuario

Apéndice 5 Manual de usuario de ColeXión. ColeXión 1.0. Manual de usuario Apéndice 5 Manual de usuario de ColeXión ColeXión 1.0 Manual de usuario Índice 1. Qué es ColeXión?... 2 2. Requerimientos del sistema... 3 3. Instalación de ColeXión... 3 4. Creación de un nuevo esquema...

Más detalles

Manual de la aplicación de seguimiento docente en la UJI

Manual de la aplicación de seguimiento docente en la UJI Manual de la aplicación de seguimiento docente en la UJI Introducción El objetivo del presente documento es, fundamentalmente, informar al PDI sobre el funcionamiento de la aplicación informática de apoyo

Más detalles

GUIA COMPLEMENTARIA PARA EL USUARIO DE AUTOAUDIT. Versión N 02 Fecha: 2011-Febrero Apartado: Archivos Anexos ARCHIVOS ANEXOS

GUIA COMPLEMENTARIA PARA EL USUARIO DE AUTOAUDIT. Versión N 02 Fecha: 2011-Febrero Apartado: Archivos Anexos ARCHIVOS ANEXOS ARCHIVOS ANEXOS Son los documentos, hojas de cálculo o cualquier archivo que se anexa a las carpetas, subcarpetas, hallazgos u otros formularios de papeles de trabajo. Estos archivos constituyen la evidencia

Más detalles

Apuntes de ACCESS. Apuntes de Access. Campos de Búsqueda:

Apuntes de ACCESS. Apuntes de Access. Campos de Búsqueda: Apuntes de ACCESS Campos de Búsqueda: Los campos de búsqueda permiten seleccionar el valor de un campo de una lista desplegable en lugar de tener que escribirlos. El usuario sólo tiene que elegir un valor

Más detalles

2_trabajar con calc I

2_trabajar con calc I Al igual que en las Tablas vistas en el procesador de texto, la interseccción de una columna y una fila se denomina Celda. Dentro de una celda, podemos encontrar diferentes tipos de datos: textos, números,

Más detalles

MANUAL DE HOJA DE CALCULO

MANUAL DE HOJA DE CALCULO MANUAL DE HOJA DE CALCULO Conceptos Básicos Uso de Celdas Relleno automático y listas de clasificación Formatos Funciones de Bases de Datos Gráficos o Diagramas Abrir archivos de texto y formatearlos CONCEPTOS

Más detalles

Unicenter Service Desk r11.1. Guía para el Usuario Final de Service Desk

Unicenter Service Desk r11.1. Guía para el Usuario Final de Service Desk Unicenter Service Desk r11.1 Guía para el Usuario Final de Service Desk Índice Página Tema 3...Guía Para Usuario Final 3 Ingreso al Sistema 4.....Ventana de Inicio 4... Anuncios de Soporte Técnico 5...

Más detalles

Introducción a la Firma Electrónica en MIDAS

Introducción a la Firma Electrónica en MIDAS Introducción a la Firma Electrónica en MIDAS Firma Digital Introducción. El Módulo para la Integración de Documentos y Acceso a los Sistemas(MIDAS) emplea la firma digital como método de aseguramiento

Más detalles

GENERACIÓN DE TRANSFERENCIAS

GENERACIÓN DE TRANSFERENCIAS GENERACIÓN DE TRANSFERENCIAS 1 INFORMACIÓN BÁSICA La aplicación de generación de ficheros de transferencias permite generar fácilmente órdenes para que la Caja efectúe transferencias, creando una base

Más detalles

MÓDULO 2: TRATAMIENTO DE DATOS CON HOJA DE CÁLCULO. Tema 1: Gestión de listas de datos y tablas dinámicas. Leire Aldaz, Begoña Eguía y Leire Urcola

MÓDULO 2: TRATAMIENTO DE DATOS CON HOJA DE CÁLCULO. Tema 1: Gestión de listas de datos y tablas dinámicas. Leire Aldaz, Begoña Eguía y Leire Urcola MÓDULO 2: TRATAMIENTO DE DATOS CON HOJA DE CÁLCULO Tema 1: Gestión de listas de datos y tablas dinámicas Leire Aldaz, Begoña Eguía y Leire Urcola Índice del tema - Introducción a las listas de datos -

Más detalles

Software Criptográfico FNMT-RCM

Software Criptográfico FNMT-RCM Software Criptográfico FNMT-RCM ÍNDICE 1. DESCARGA E INSTALACIÓN DEL SOFTWARE 2. EXPORTACIÓN DE CERTIFICADOS EN MICROSOFT INTERNET EXPLORER 3. IMPORTACIÓN DEL CERTIFICADO A LA TARJETA CRIPTOGRÁFICA -2-

Más detalles

INTRODUCCIÓN AL SOFTWARE ISE (Integrated Software Environment) DE XILINX

INTRODUCCIÓN AL SOFTWARE ISE (Integrated Software Environment) DE XILINX INTRODUCCIÓN AL SOFTWARE ISE (Integrated Software Environment) DE XILINX Índice GUÍA DE INICIO AL SOFTWARE ISE DE XILINX... 1 1. Introducción... 1 2. Inicio del software ISE (Integrated Software Environment)

Más detalles

GENERACIÓN DE ANTICIPOS DE CRÉDITO

GENERACIÓN DE ANTICIPOS DE CRÉDITO GENERACIÓN DE ANTICIPOS DE CRÉDITO 1 INFORMACIÓN BÁSICA La aplicación de generación de ficheros de anticipos de crédito permite generar fácilmente órdenes para que la Caja anticipe el cobro de créditos

Más detalles

Tobii Communicator 4. Introducción

Tobii Communicator 4. Introducción Tobii Communicator 4 Introducción BIENVENIDO A TOBII COMMUNICATOR 4 Tobii Communicator 4 permite que las personas con discapacidades físicas o comunicativas utilicen un equipo o dispositivo para comunicación.

Más detalles

GUÍA DE USUARIO DEL CORREO

GUÍA DE USUARIO DEL CORREO REPÚBLICA BOLIVARIANA DE VENEZUELA MINISTERIO DEL PODER POPULAR PARA LA EDUCACIÓN DIRECCIÓN GENERAL DE LA OFICINA DE ADMINISTRACIÓN Y SERVICIOS DIVISIÓN DE SOPORTE TÉCNICO Y FORMACIÓN AL USUARIO GUÍA DE

Más detalles

GedicoPDA: software de preventa

GedicoPDA: software de preventa GedicoPDA: software de preventa GedicoPDA es un sistema integrado para la toma de pedidos de preventa y gestión de cobros diseñado para trabajar con ruteros de clientes. La aplicación PDA está perfectamente

Más detalles

Operación de Microsoft Word

Operación de Microsoft Word Generalidades y conceptos Combinar correspondencia Word, a través de la herramienta combinar correspondencia, permite combinar un documento el que puede ser una carta con el texto que se pretende hacer

Más detalles

AGREGAR UN EQUIPO A UNA RED Y COMPARTIR ARCHIVOS CON WINDOWS 7

AGREGAR UN EQUIPO A UNA RED Y COMPARTIR ARCHIVOS CON WINDOWS 7 Tutoriales de ayuda e información para todos los niveles AGREGAR UN EQUIPO A UNA RED Y COMPARTIR ARCHIVOS CON WINDOWS 7 Como agregar a una red existente un equipo con Windows 7 y compartir sus archivos

Más detalles

COMISIÓN NACIONAL PARA EL USO EFICIENTE DE LA ENERGÍA

COMISIÓN NACIONAL PARA EL USO EFICIENTE DE LA ENERGÍA COMISIÓN NACIONAL PARA EL USO EFICIENTE DE LA ENERGÍA PROGRAMA DE EFICIENCIA ENERGÉTICA SISTEMA DE CONTROLY SEGUIMIENTO DE INDICADORES DEL CONSUMO DE ENERGÍA HERRAMIENTA ELECTRÓNICA DE INDICADORES DEL

Más detalles

Guía de acceso a Meff por Terminal Server

Guía de acceso a Meff por Terminal Server Guía de acceso a Meff por Terminal Server Fecha:15 Marzo 2011 Versión: 1.02 Historia de Revisiones Versión Fecha Descripción 1.00 03/07/2009 Primera versión 1.01 13/08/2009 Incorporación dominio 1.02 15/03/2011

Más detalles

TUTORIAL: Cómo puedo instalar el Renault Media Nav Toolbox? TUTORIAL: Cómo puedo crear una "huella digital" del dispositivo en un dispositivo de

TUTORIAL: Cómo puedo instalar el Renault Media Nav Toolbox? TUTORIAL: Cómo puedo crear una huella digital del dispositivo en un dispositivo de TUTORIAL: Cómo puedo instalar el Renault Media Nav Toolbox? TUTORIAL: Cómo puedo crear una "huella digital" del dispositivo en un dispositivo de almacenamiento USB? TUTORIAL: Cómo puedo empezar a utilizar

Más detalles

Guía de Inicio Rápido de SitePlayer S310265. Guía de Inicio. Rápido de

Guía de Inicio Rápido de SitePlayer S310265. Guía de Inicio. Rápido de Guía de Inicio Rápido de 1 Kit de Desarrollo SitePlayer SPK1 En primer lugar le damos las gracias por haber depositado su confianza en nuestro producto Kit de Desarrollo SPK1 SitePlayer. Este documento

Más detalles

Introducción al PSPICE

Introducción al PSPICE Pspice incluye varios programas, entre ellos está Schematics que es un programa de captura con una interfase directa a otros programas y opciones de Pspice. Con este programa se pueden realizar varias

Más detalles

GUIA APLICACIÓN DE SOLICITUDES POR INTERNET. Gestión de Cursos, Certificados de Aptitud Profesional y Tarjetas de Cualificación de Conductores ÍNDICE

GUIA APLICACIÓN DE SOLICITUDES POR INTERNET. Gestión de Cursos, Certificados de Aptitud Profesional y Tarjetas de Cualificación de Conductores ÍNDICE ÍNDICE ACCESO A LA APLICACIÓN... 2 1.- HOMOLOGACIÓN DE CURSOS... 4 1.1.- INICIAR EXPEDIENTE... 4 1.2.- CONSULTA DE EXPEDIENTES... 13 1.3.- RENUNCIA A LA HOMOLOGACIÓN... 16 2.- MECÁNICA DE CURSOS... 19

Más detalles

Escudo Movistar Guía Rápida de Instalación Para Windows

Escudo Movistar Guía Rápida de Instalación Para Windows Escudo Movistar Guía Rápida de Instalación Para Windows Guía de Instalación Página 1 Índice ESCUDO MOVISTAR.... 3 1. INSTALACIÓN DEL SERVICIO ESCUDO MOVISTAR... 3 1.1. VERSIONES SOPORTADAS... 3 1.2. DISPOSITIVOS

Más detalles

Manual del Usuario. Sistema de Help Desk

Manual del Usuario. Sistema de Help Desk Manual del Usuario Sistema de Help Desk Objetivo del Manual El siguiente manual tiene como objetivo proveer la información necesaria para la correcta utilización del sistema Help Desk. Describe los procedimientos

Más detalles

Contenido. Email: capacitacion@u cursos.cl / Teléfono: 9782450

Contenido. Email: capacitacion@u cursos.cl / Teléfono: 9782450 GMI Contenido PUBLICAR AVISO... 3 CREAR PROCESO DE SELECCIÓN... 6 VER/ELIMINAR AVISOS PUBLICADOS... 8 ETAPAS DE UN PROCESO DE SELECCIÓN... 10 SECCIONES DE LOS PROCESOS DE SELECCIÓN (GPS)... 21 PERSONALIZAR

Más detalles

Manual del usuario del Módulo de Administración de Privilegios del Sistema Ingresador (MAPSI)

Manual del usuario del Módulo de Administración de Privilegios del Sistema Ingresador (MAPSI) Manual del usuario del Módulo de Administración de Privilegios del Sistema Ingresador (MAPSI) 1. Introducción El presente manual representa una guía rápida que ilustra la utilización del Módulo de Administración

Más detalles

Edición de Ofertas Excel Manual de Usuario

Edición de Ofertas Excel Manual de Usuario Edición de Ofertas Excel Manual de Usuario Alfonso XI, 6 28014 Madrid F(+34) 91 524 03 96 www.omie.es Ref. MU_OfertasExcel.docx Versión 4.0 Fecha: 2012-11-26 ÍNDICE 1 INTRODUCCIÓN 3 2 CONSIDERACIONES DE

Más detalles

Entidad Formadora: Plan Local De Formación Convocatoria 2010

Entidad Formadora: Plan Local De Formación Convocatoria 2010 Entidad Formadora: Enterprise Architect Comenzando Puede iniciar Enterprise Architect desde el ícono que se creó en su escritorio de Windows durante la instalación, o alternativamente: 1. Abrir el menú

Más detalles

Nota: Se puede tener un acceso directo definido o podemos entrar a través de la

Nota: Se puede tener un acceso directo definido o podemos entrar a través de la Objetivo: explotar la información eventual en línea, utilizando libros y hojas con una estructura inicial con opción a modificarse de acuerdo a la necesidad del usuario. Después de haber instalado la herramienta

Más detalles

Cómo creo las bandejas del Registro de Entrada /Salida y de Gestión de Expedientes?

Cómo creo las bandejas del Registro de Entrada /Salida y de Gestión de Expedientes? Preguntas frecuentes Cómo creo las bandejas del Registro de Entrada /Salida y de Gestión de Expedientes? Atención! Esta opción es de configuración y solamente la prodrá realizar el administrador de la

Más detalles

V i s i t a V i r t u a l e n e l H o s p i t a l

V i s i t a V i r t u a l e n e l H o s p i t a l V i s i t a V i r t u a l e n e l H o s p i t a l Manual de Restauración del PC Septiembre 2011 TABLA DE CONTENIDOS SOBRE EL SOFTWARE... 3 CONSIDERACIONES ANTES DE RESTAURAR... 4 PROCEDIMIENTO DE RECUPERACION...

Más detalles

Manual Ingreso Notas y Acta Electrónica

Manual Ingreso Notas y Acta Electrónica Manual Ingreso Notas y Acta Electrónica ÍNDICE ÍNDICE 2 I. INTRODUCCIÓN 3 II. CREAR LA ESTRUCTURA DE NOTAS PARCIALES (OPCIÓN NOTAS NORMALES) _ 4 III. CREAR LA ESTRUCTURA DE NOTA FINAL (OPCIÓN NOTAS CALCULADAS)

Más detalles

Manual del Profesor Campus Virtual UNIVO

Manual del Profesor Campus Virtual UNIVO Manual del Profesor Campus Virtual UNIVO Versión 2.0 Universidad de Oriente UNIVO Dirección de Educación a Distancia INDICE 1. Campus Virtual. 03 1.1 Accesos al Curso 04 1.2 Interfaz del Curso...06 1.3

Más detalles

Autor: Microsoft Licencia: Cita Fuente: Ayuda de Windows

Autor: Microsoft Licencia: Cita Fuente: Ayuda de Windows Qué es Recuperación? Recuperación del Panel de control proporciona varias opciones que pueden ayudarle a recuperar el equipo de un error grave. Nota Antes de usar Recuperación, puede probar primero uno

Más detalles

COMISIÓN NACIONAL PARA EL AHORRO DE ENERGÍA

COMISIÓN NACIONAL PARA EL AHORRO DE ENERGÍA COMISIÓN NACIONAL PARA EL AHORRO DE ENERGÍA PROGRAMA DE EFICIENCIA ENERGÉTICA SISTEMA DE CONTROLY SEGUIMIENTO DE INDICADORES DEL CONSUMO DE ENERGÍA HERRAMIENTA ELECTRÓNICA DE INDICADORES DEL CONSUMO DE

Más detalles

COMPROBACIONES BÁSICAS PARA EL USO DE FIRMA EN EL RTC

COMPROBACIONES BÁSICAS PARA EL USO DE FIRMA EN EL RTC TITULO: COMPROBACIONES BÁSICAS PARA EL USO DE FIRMA EN EL RTC RESUMEN: La idea de este documento es mostrar una serie de acciones y ayudas básicas para intentar determinar y solucionar problemas en la

Más detalles

Adelacu Ltda. www.adelacu.com Fono +562-218-4749. Graballo+ Agosto de 2007. Graballo+ - Descripción funcional - 1 -

Adelacu Ltda. www.adelacu.com Fono +562-218-4749. Graballo+ Agosto de 2007. Graballo+ - Descripción funcional - 1 - Graballo+ Agosto de 2007-1 - Índice Índice...2 Introducción...3 Características...4 DESCRIPCIÓN GENERAL...4 COMPONENTES Y CARACTERÍSTICAS DE LA SOLUCIÓN...5 Recepción de requerimientos...5 Atención de

Más detalles

Capture Pro Software. Introducción. A-61640_es

Capture Pro Software. Introducción. A-61640_es Capture Pro Software Introducción A-61640_es Introducción a Kodak Capture Pro Software y Capture Pro Limited Edition Instalación del software: Kodak Capture Pro Software y Network Edition... 1 Instalación

Más detalles

RELACIÓN DE PRÁCTICAS DEL TEMA 2

RELACIÓN DE PRÁCTICAS DEL TEMA 2 RELACIÓN DE PRÁCTICAS DEL TEMA 2 PRÁCTICA S.O. (I): Crear un fichero con Wordpad con tus datos personales y guardarlo en c:/temp Crear una carpeta con tu nombre en c:/temp (ej. C:/temp/pepita) Copiar el

Más detalles

NORMA 34.14(SEPA) 05/11/2013

NORMA 34.14(SEPA) 05/11/2013 NORMA 34.14(SEPA) 05/11/2013 1. Descripción La aplicación de generación de ficheros de transferencias permite generar fácilmente órdenes para que se efectúe el pago de transferencias a los beneficiarios

Más detalles

Manual de operación Tausend Monitor

Manual de operación Tausend Monitor Manual de operación Tausend Monitor Luego de haber realizado satisfactoriamente el proceso de instalación, al iniciar el programa le aparecerá la siguiente ventana: El usuario principal y con el primero

Más detalles

En términos generales, un foro es un espacio de debate donde pueden expresarse ideas o comentarios sobre uno o varios temas.

En términos generales, un foro es un espacio de debate donde pueden expresarse ideas o comentarios sobre uno o varios temas. 1 de 18 Inicio Qué es un foro En términos generales, un foro es un espacio de debate donde pueden expresarse ideas o comentarios sobre uno o varios temas. En el campus virtual, el foro es una herramienta

Más detalles

Organizándose con Microsoft Outlook

Organizándose con Microsoft Outlook Organizándose con Microsoft Outlook Objetivo: Identificar herramientas para organizar los correos electrónicos, administrar tiempos por medio de la agenda y comunicarse con los demás. Destrezas técnicas

Más detalles

UAM MANUAL DE EMPRESA. Universidad Autónoma de Madrid

UAM MANUAL DE EMPRESA. Universidad Autónoma de Madrid MANUAL DE EMPRESA Modo de entrar en ÍCARO Para comenzar a subir una oferta de empleo, el acceso es a través del siguiente enlace: http://icaro.uam.es A continuación, aparecerá la página de inicio de la

Más detalles

API. Administración Portuaria Integral, Veracruz. Manual de Usuario del software para generar la programación de conceptos de Obras...

API. Administración Portuaria Integral, Veracruz. Manual de Usuario del software para generar la programación de conceptos de Obras... . Subgerencia de Informática Manual de Usuario del software para generar la programación de conceptos de Obras.......... Administración Portuaria Integral, Veracruz API 1. Introducción. Este manual está

Más detalles

ENTORNO DE DESARROLLO MICROSOFT.NET 2010

ENTORNO DE DESARROLLO MICROSOFT.NET 2010 ENTORNO DE DESARROLLO MICROSOFT.NET 2010 UNIDAD 2 Estructura de contenidos: 1. Conociendo ASP 2. Sitio Web y Proyecto Web 3. WebForm 4. Características de los webforms 5. Entorno del.net 6. Controles básicos

Más detalles

2. Seleccione OneDrive en la parte superior de los sitios de SharePoint u Office 365 de su organización.

2. Seleccione OneDrive en la parte superior de los sitios de SharePoint u Office 365 de su organización. Como acceder a nuestra cuenta de OneDrive Business: 1. Inicie sesión en Office 365 (https://portal.office.com). 2. Seleccione OneDrive en la parte superior de los sitios de SharePoint u Office 365 de su

Más detalles

T3-Rondas V 1.1. Help-Pc, S.L. C/ Pintor Pau Roig, 39 L-5 08330 Premià de Mar Barcelona Tel. (93) 754 90 19 Fax 93 752 35 18 marketing@t2app.

T3-Rondas V 1.1. Help-Pc, S.L. C/ Pintor Pau Roig, 39 L-5 08330 Premià de Mar Barcelona Tel. (93) 754 90 19 Fax 93 752 35 18 marketing@t2app. T3-Rondas V 1.1 1 Indice 1 Requisitos mínimos 3 2 Instalación 3 2.1 Instalación del software 3 2.2 Instalación del terminal 4 3 Configuración Inicial 4 3.1 Crear terminales 5 3.2 Crear puntos de lectura

Más detalles

Manual de Instalación. Sistema FECU S.A.

Manual de Instalación. Sistema FECU S.A. Manual de Instalación Sistema FECU S.A. Índice Requerimientos de hardware... 3 Requerimientos de software... 3 Bajar programas desde Internet... 4 Manual de Usuario... 5 Archivos de instalación FECU S.A....

Más detalles

Manual de usuario administrador. Correo Exchange Administrado

Manual de usuario administrador. Correo Exchange Administrado Manual de usuario administrador Correo Exchange Administrado Triara.com SA de CV Todos los derechos reservados Esta guía no puede ser reproducido ni distribuida en su totalidad ni en parte, en cualquier

Más detalles

Comisión Nacional de Bancos y Seguros

Comisión Nacional de Bancos y Seguros Comisión Nacional de Bancos y Seguros Manual de Usuario Capturador de Pólizas División de Servicios a Instituciones Financieras Mayo de 2011 2 Contenido 1. Presentación... 3 1.1 Objetivo... 3 2. Descarga

Más detalles

Introducción a los sitios de SharePoint en Office 365

Introducción a los sitios de SharePoint en Office 365 Introducción a los sitios de SharePoint en Office 365 Universidad Central del Este Contenido 1. QUÉ ES UN SITIO SHAREPOINT?... 3 2. CÓMO INGRESAR AL ÁREA DE SITIOS?... 3 3. DESCRIPCIÓN GENERAL DEL ÁREA

Más detalles

MANUAL DE USUARIO PANEL DE CONTROL Sistema para Administración del Portal Web. www.singleclick.com.co

MANUAL DE USUARIO PANEL DE CONTROL Sistema para Administración del Portal Web. www.singleclick.com.co MANUAL DE USUARIO PANEL DE CONTROL Sistema para Administración del Portal Web www.singleclick.com.co Sistema para Administración del Portal Web Este documento es una guía de referencia en la cual se realiza

Más detalles

MANUAL DE SHAREPOINT 2013. Por: Área de Administración de Aplicaciones.

MANUAL DE SHAREPOINT 2013. Por: Área de Administración de Aplicaciones. MANUAL DE SHAREPOINT 2013 Por: Área de Administración de Aplicaciones. Indice 1. Tipos de Cuentas de Usuario... 2 2. Compatibilidad con exploradores de Internet... 2 3. Como acceder a un sitio de SharePoint

Más detalles

ESTÁNDAR DESEMPEÑO BÁSICO Recopila información, la organiza y la procesa de forma adecuada, utilizando herramientas tecnológicas.

ESTÁNDAR DESEMPEÑO BÁSICO Recopila información, la organiza y la procesa de forma adecuada, utilizando herramientas tecnológicas. ESTÁNDAR DESEMPEÑO BÁSICO Recopila información, la organiza y la procesa de forma adecuada, utilizando herramientas tecnológicas. Sala de sistemas, Video proyector, Guías RECURSOS ACTIVIDADES PEDAGÓGICAS

Más detalles

REDES DE ÁREA LOCAL. APLICACIONES Y SERVICIOS EN WINDOWS

REDES DE ÁREA LOCAL. APLICACIONES Y SERVICIOS EN WINDOWS REDES DE ÁREA LOCAL. APLICACIONES Y SERVICIOS EN WINDOWS Servicio DNS - 1 - Servicio DNS...- 3 - Definición... - 3 - Instalación... - 5 - Configuración del Servidor DNS...- 10 - - 2 - Servicio DNS Definición

Más detalles

TARJETA DE DESARROLLO CPLD

TARJETA DE DESARROLLO CPLD TARJETA DE DESARROLLO CPLD XC9572xl Serie 1 Características CPLD XC9572 xl vq64. o VQFP - 64 pines. o 52 pines I/O de usuario. o 5ns de retardo entre pines. o Frecuencia hasta 178MHz. o 72 macroceldas.

Más detalles

MACROS. Automatizar tareas a través del uso de las macros.

MACROS. Automatizar tareas a través del uso de las macros. OBJETIVOS MACROS Definiciones Automatizar tareas a través del uso de las macros. Grabar Ejecutar Manipular macros. Tipos de Macros en Excel Introducción Las operaciones tradicionales que se pueden realizar

Más detalles

UNIDADES DE ALMACENAMIENTO DE DATOS

UNIDADES DE ALMACENAMIENTO DE DATOS 1.2 MATÉMATICAS DE REDES 1.2.1 REPRESENTACIÓN BINARIA DE DATOS Los computadores manipulan y almacenan los datos usando interruptores electrónicos que están ENCENDIDOS o APAGADOS. Los computadores sólo

Más detalles

Manual del usuario USO DEL MERCADO

Manual del usuario USO DEL MERCADO Manual del usuario USO DEL MERCADO Pagina El mercado...1 El área de trabajo...1 Colocación de sus productos...2 Encontrando ofertas y demandas...3 Haciendo y recibiendo propuestas...4 Aceptando una propuesta...5

Más detalles

1.- MENU DE CONTROL O MENU VENTANA: permite cerrar la ventana cambiarla de tamaño y pasar a otra ventana

1.- MENU DE CONTROL O MENU VENTANA: permite cerrar la ventana cambiarla de tamaño y pasar a otra ventana EXCEL PRÓLOGO Microsoft Excel es una hoja de cálculo de gran capacidad y fácil uso. Excel no solo es una hoja de calculo, sino también tiene capacidad para diseñar bases de datos (listas) de forma totalmente

Más detalles

POWER POINT. Iniciar PowerPoint

POWER POINT. Iniciar PowerPoint POWER POINT Power Point es la herramienta de Microsoft Office para crear presentaciones que permiten comunicar información e ideas de forma visual y atractiva. Iniciar PowerPoint Coloque el cursor y dé

Más detalles

3. Número inicial y número final de mensajes mostrados en la página actual.

3. Número inicial y número final de mensajes mostrados en la página actual. Sistema WEBmail El sistema WEBmail permite el acceso rápido y sencillo a su buzón de correo utilizando un navegador de páginas Web. Normalmente es usado como complemento al lector de correo tradicional,

Más detalles

Manual para usuarios USO DE ONEDRIVE. Universidad Central del Este

Manual para usuarios USO DE ONEDRIVE. Universidad Central del Este Manual para usuarios USO DE ONEDRIVE Universidad Central del Este Contenido 1. QUÉ ES Y PARA QUÉ SIRVE OFFICE 365?... 3 1.1 CÓMO ENTRAR A LA PLATAFORMA DE OFFICE 365 DE LA UCE?... 3 ONEDRIVE... 5 2. QUÉ

Más detalles

Manual de uso de la Consola de Administración para usuarios Administradores.

Manual de uso de la Consola de Administración para usuarios Administradores. Manual de uso de la Consola de Administración para usuarios Administradores. I. ACCESO A LA CONSOLA DE ADMINISTRACIÓN 1. Para acceder a la consola de administración abra desde Internet Explorer la dirección

Más detalles

1 ÍNDICE... 3 Instalación... 4 Proceso de instalación en red... 6 Solicitud de Código de Activación... 11 Activación de Licencia... 14 2 3 REQUERIMIENTOS TÉCNICOS E INSTALACIÓN Requerimientos Técnicos

Más detalles

INSTALACIÓN 2. 2.1 El Proceso de Instalación. 2.2 El Asistente de Instalación

INSTALACIÓN 2. 2.1 El Proceso de Instalación. 2.2 El Asistente de Instalación INSTALACIÓN 2 2.1 El Proceso de Instalación El proceso total de instalación, consiste en la ejecución en estricta secuencia, de cada uno de los siguientes componentes: Asistente de instalación de Microsoft

Más detalles

Visor de presupuestos en Android

Visor de presupuestos en Android Visor de presupuestos en Android NEODATA tiene el placer y el orgullo de darle la bienvenida al nuevo Visor de presupuestos, esta herramienta fue diseñada pensando en la necesidad de acceder a presupuestos

Más detalles

Guía de uso del Cloud Datacenter de acens

Guía de uso del Cloud Datacenter de acens guíasdeuso Guía de uso del Cloud Datacenter de Calle San Rafael, 14 28108 Alcobendas (Madrid) 902 90 10 20 www..com Introducción Un Data Center o centro de datos físico es un espacio utilizado para alojar

Más detalles

DOCUMENTOS COMPARTIDOS CON GOOGLE DOCS

DOCUMENTOS COMPARTIDOS CON GOOGLE DOCS DOCUMENTOS COMPARTIDOS CON GOOGLE DOCS 1. Introducción Los ambientes de aprendizaje acompañados de trabajos colaborativos como estrategia se revierten en actividades de diferente índole (análisis de videos,

Más detalles

SOROLLA MODULO DE JUSTIFICANTES DEL GASTO

SOROLLA MODULO DE JUSTIFICANTES DEL GASTO MINISTERIO DE ECONOMÍA Y HACIENDA SECRETARÍA DE DE HACIENDA Y PRESUPUESTOS GENERAL DE LA SUBDIRECCIÓN GENERAL DE APLICACIONES DE CONTABILIDAD Y CONTROL SOROLLA MODULO DE JUSTIFICANTES DEL GASTO GUÍA DE

Más detalles

CIF-KM. GUÍA DE LOS PRIMEROS PASOS

CIF-KM. GUÍA DE LOS PRIMEROS PASOS CIF-KM. GUÍA DE LOS PRIMEROS PASOS Secciones 1. CONCEPTOS PREVIOS. 2. INSTALAR CIF-KM. 2.1 Descargar e instalar CIF-KM. 2.2 Configuración de CIF-KM. 2.3 Acceso externo al servidor de CIF-KM. 3. PRIMERA

Más detalles

NOTAS TÉCNICAS SOBRE EL SIT: Comunicados (I)

NOTAS TÉCNICAS SOBRE EL SIT: Comunicados (I) NOTAS TÉCNICAS SOBRE EL SIT: Comunicados (I) Introducción...2 Introducción a los Códigos de Fusión... 2 Modelos de Cartas...2 Elaboración del Modelo... 2 Formato HTML (para envíos por correo electrónico)...

Más detalles

Manual para la obtención del certificado del sello digital. Manual para la obtención del certificado del sello digital

Manual para la obtención del certificado del sello digital. Manual para la obtención del certificado del sello digital Manual para la obtención del certificado del sello digital Manual para la obtención del certificado del sello digital. 1. Introducción 1.1. Objetivo. El objetivo de este documento es proporcionarle al

Más detalles

Oficina Online. Manual del administrador

Oficina Online. Manual del administrador Oficina Online Manual del administrador 2/31 ÍNDICE El administrador 3 Consola de Administración 3 Administración 6 Usuarios 6 Ordenar listado de usuarios 6 Cambio de clave del Administrador Principal

Más detalles

La Administración de Proyectos

La Administración de Proyectos La Administración de Proyectos La administración de proyectos es el proceso de planear, organizar y administrar tareas y recursos para alcanzar un objetivo concreto, generalmente con delimitaciones de

Más detalles