Laboratorio de Arquitectura de Computadoras

Tamaño: px
Comenzar la demostración a partir de la página:

Download "Laboratorio de Arquitectura de Computadoras"

Transcripción

1 Laboratorio de Arquitectura de Computadoras Estándar IEEE 1164 de VHDL y ALU TTL Oscar Alvarado Nava oan@azc.uam.mx Departamento de Electrónica División de Ciencias Básicas e Ingeniería Universidad Autónoma Metropolitana, Unidad Azcapotzalco 17-Invierno, enero de 2017 Oscar Alvarado Nava UAM Azcapotzalco Estándar IEEE 1164 de VHDL y ALU TTL /29

2 Contenido Oscar Alvarado Nava UAM Azcapotzalco Estándar IEEE 1164 de VHDL y ALU TTL /29

3 Funciones y procedimientos La funcionalidad secuecial de un circuito se puede definir en una función (function) o en un procedimiento (procedure) Las funciones se deberán contar con Declaración Definición Llamada Oscar Alvarado Nava UAM Azcapotzalco Estándar IEEE 1164 de VHDL y ALU TTL /29

4 Definición, declaración y llamada de una función 1 architecture beh of adder4 is 2 -- declaracion 3 function suma4 (x,y: bit_vector (3 downto 0);cin :bit ) return bit_vector ; definicion 6 function suma4 (x,y: bit_vector (3 downto 0);cin :bit ) return bit_vector is 7 variable ci,co:bit ; 8 variable suma : bit_vector (3 downto 0) :="0000 "; 9 begin 10 ci := CIN ; 11 for i in 0 to 3 loop 12 suma (i) := x(i) xor y(i) xor ci; 13 co := (x(i) and y(i)) or (x(i) and ci) or (y(i) and ci); 14 ci := co; 15 end loop ; 16 return suma ; 17 end function suma4 ; begin llamada 21 s <= suma4 (x, y,cin ); 22 end architecture beh ; Oscar Alvarado Nava UAM Azcapotzalco Estándar IEEE 1164 de VHDL y ALU TTL /29

5 Paquetes Las funciones se pueden agrupar en paquetes (package) Los paquetes a su vez se pueden agrupar como bibliotecas (library) Los paquetes serán conformados en dos partes Declaración de funciones y entidades Definición de funciones Oscar Alvarado Nava UAM Azcapotzalco Estándar IEEE 1164 de VHDL y ALU TTL /29

6 Paquetes 1 package ac_bib is 2 -- declaraciones 3 function suma4 (X,Y: bit_vector (3 downto 0);CIN :bit ) return bit_vector ; 4 end package ; 5 6 package body ac_bib is 7 -- definiciones 8 function suma4 (X,Y: bit_vector (3 downto 0);CIN :bit ) return bit_vector is 9 variable ci,co:bit ; 10 variable suma : bit_vector (3 downto 0) :="0000 "; 11 begin 12 ci := CIN ; 13 for i in 0 to 3 loop 14 suma (i) := x(i) xor y(i) xor ci; 15 co := (x(i) and y(i)) or (x(i) and ci) or (y(i) and ci); 16 ci := co; 17 end loop ; 18 return suma ; 19 end function suma4 ; end package body ac_bib ; Oscar Alvarado Nava UAM Azcapotzalco Estándar IEEE 1164 de VHDL y ALU TTL /29

7 Acceso a funciones en paquetes Para acceder a las funciones agrupadas en los paquetes, serán necesario incluirlos como encabezados especificando su ruta La ruta deberá componerse con puntos como separadores Se deberá utilizar la palabra use Si se considera la ruta de trabajo se puede utilizar la ruta work Oscar Alvarado Nava UAM Azcapotzalco Estándar IEEE 1164 de VHDL y ALU TTL /29

8 Encabezados 1 -- encabezado 2 use work. ac_bib.all ; 3 4 entity adder4 is 5 port ( 6 X:in bit_vector (3 downto 0); 7 Y:in bit_vector (3 downto 0); 8 CIN :in bit ; 9 S: out bit_vector (3 downto 0); 10 COUT : out bit 11 ); 12 end entity adder4 ; architecture beh of adder4 is 15 begin 16 S <= suma4 (X, Y,cin ); 17 end architecture beh ; Oscar Alvarado Nava UAM Azcapotzalco Estándar IEEE 1164 de VHDL y ALU TTL /29

9 Sobrecarga de operadores El tipo de dato integer tiene definido operadores de suma (+) y resta (-) El tipo bit y bit vector lo pueden definir si se nombra una función (function) con el caracter del operador, las definiciones podrian alojarse en un paquete package Oscar Alvarado Nava UAM Azcapotzalco Estándar IEEE 1164 de VHDL y ALU TTL /29

10 Sobrecarga del operador + 1 package ac_bib is 2 -- declaraciones 3 function "+"(X,Y: bit_vector (3 downto 0);CIN :bit ) return bit_vector ; 4 end package ; 5 6 package body ac_bib is 7 -- definiciones 8 function "+"(X,Y: bit_vector (3 downto 0);CIN :bit ) return bit_vector is 9 variable ci,co:bit ; 10 variable suma : bit_vector (3 downto 0) :="0000 "; 11 begin 12 ci := CIN ; 13 for i in 0 to 3 loop 14 suma (i) := x(i) xor y(i) xor ci; 15 co := (x(i) and y(i)) or (x(i) and ci) or (y(i) and ci); 16 ci := co; 17 end loop ; 18 return suma ; 19 end function "+"; end package body ac_bib ; Oscar Alvarado Nava UAM Azcapotzalco Estándar IEEE 1164 de VHDL y ALU TTL /29

11 LLamada a operador sobrecargado 1 -- encabezado 2 use work. ac_bib.all ; 3 4 entity adder4 is 5 port ( 6 X:in bit_vector (3 downto 0); 7 Y:in bit_vector (3 downto 0); 8 CIN :in bit ; 9 S: out bit_vector (3 downto 0); 10 COUT : out bit 11 ); 12 end entity adder4 ; architecture beh of adder4 is 15 begin Operador sobrecargado 17 S <= X + Y; 18 end architecture beh ; Oscar Alvarado Nava UAM Azcapotzalco Estándar IEEE 1164 de VHDL y ALU TTL /29

12 Tipo de dato multivaluado std logic El estándar especifica 9 valores para este tipo de dato: U Uninitialized X Forcing unknown 0 Forcing 0 1 Forcing 1 Z High Impedance W Weak unknown H Weak 0 L Weak 1 - Don t care También se define para std logic vector Oscar Alvarado Nava UAM Azcapotzalco Estándar IEEE 1164 de VHDL y ALU TTL /29

13 Encabezados Las definiciones de tipos y operaciones, se definenen las bibliotecas library ieee; use ieee.std logic 1164.all; Las definiciones para operaciones aritméticas de números con signo, se definen las biblioteca use ieee.std logic arith.all; Las definiciones para operaciones de números sin signo, se definen las biblioteca use ieee.std logic unsigned.all; Oscar Alvarado Nava UAM Azcapotzalco Estándar IEEE 1164 de VHDL y ALU TTL /29

14 Uso de std logic en ghdl El estándar especifíca: El tipo std logic vector Sobrecarga de operadores Para compilar en GHDL es necesario: $ghdl -a ieee=synopsys broken.vhdl Oscar Alvarado Nava UAM Azcapotzalco Estándar IEEE 1164 de VHDL y ALU TTL /29

15 Biblioteca general para operaciones Las definiciones de tipos y operaciones están en las bibliotecas use ieee.numeric std.all; Oscar Alvarado Nava UAM Azcapotzalco Estándar IEEE 1164 de VHDL y ALU TTL /29

16 Unidad Aritmética-Lógica Operación Selección Salidas Clear sub 001 B-A sub 010 A-B add 011 A+B xor 100 A B or 101 A+B and 110 A B Preset Oscar Alvarado Nava UAM Azcapotzalco Estándar IEEE 1164 de VHDL y ALU TTL /29

17 Entidad con std logic unsigned 1 library ieee ; 2 use ieee. std_logic_1164.all ; 3 use ieee. std_logic_unsigned.all ; 4 5 entity alu74381 is 6 port ( 7 s: in std_logic_vector (2 downto 0); 8 a: in std_logic_vector (3 downto 0); 9 b: in std_logic_vector (3 downto 0); 10 f: out std_logic_vector (3 downto 0) 11 ); 12 end entity alu74381 ; Oscar Alvarado Nava UAM Azcapotzalco Estándar IEEE 1164 de VHDL y ALU TTL /29

18 Descripción del comportamiento 13 architecture beh of alu74381 is 14 begin 15 process (s,a,b) -- circuito combinacional 16 begin 17 case s is 18 when " 000 " => 19 f <= " 0000 "; 20 when " 001 " => 21 f <= b - a; 22 when " 010 " => 23 f <= a - b; 24 when " 011 " => 25 f <= a + b; 26 when " 100 " => 27 f <= a xor b; 28 when " 101 " => 29 f <= a or b; 30 when " 110 " => 31 f <= a and b; 32 when others => -- consider las 3^9-7 combinaciones restantes 33 f <= " 1111 "; 34 end case ; 35 end process ; 36 end architecture beh ; Oscar Alvarado Nava UAM Azcapotzalco Estándar IEEE 1164 de VHDL y ALU TTL /29

19 Test bench (1/2) 1 library ieee ; 2 use ieee. std_logic_1164.all ; 3 --use ieee. std_logic_unsigned.all ; 4 5 entity tb_alu74381 is 6 end entity tb_alu74381 ; 7 8 architecture beh of tb_alu74381 is 9 component alu74381 is 10 port ( 11 s: in std_logic_vector (2 downto 0); 12 a: in std_logic_vector (3 downto 0); 13 b: in std_logic_vector (3 downto 0); 14 f: out std_logic_vector (3 downto 0) 15 ); 16 end component alu74381 ; signal es: std_logic_vector (2 downto 0) :="000 "; 19 signal ea: std_logic_vector (3 downto 0) :="1010 "; 20 signal eb: std_logic_vector (3 downto 0) :=x"b"; 21 signal sf: std_logic_vector (3 downto 0); Oscar Alvarado Nava UAM Azcapotzalco Estándar IEEE 1164 de VHDL y ALU TTL /29

20 Test bench (2/2) 22 begin 23 u0: alu port map ( 25 s=>es, 26 a=>ea, 27 b=>eb, 28 f=>sf 29 ); 30 process -- proceso para selector 31 begin 32 wait for 20 ns; 33 es <= " 001 "; -- B-A 34 wait for 20 ns; 35 es <= " 010 "; -- A-B 36 wait for 20 ns; 37 es <= " 011 "; -- A+B 38 wait for 20 ns; 39 es <= " 100 "; -- A xor B 40 wait for 20 ns; 41 es <= " 101 "; -- A or B 42 wait for 20 ns; 43 es <= " 110 "; -- A and B 44 wait for 20 ns; 45 es <= " 111 "; -- FF 46 end process ; 47 end architecture beh ; Oscar Alvarado Nava UAM Azcapotzalco Estándar IEEE 1164 de VHDL y ALU TTL /29

21 Análisis, enlazado, ejecución y resultados oskr@kro: /alu unsigned$ ghdl -a --ieee=synopsys alu74381.vhdl oskr@kro: /alu unsigned$ ghdl -a --ieee=synopsys tb alu74381.vhdl oskr@kro: /alu unsigned$ ghdl -e --ieee=synopsys tb alu74381 oskr@kro: /alu unsigned$./tb alu stop-time=200ns --vcd=tb alu74381.vcd oskr@kro: /alu unsigned$ gtkwave tb alu74381.vcd Oscar Alvarado Nava UAM Azcapotzalco Estándar IEEE 1164 de VHDL y ALU TTL /29

22 Makefile 1 all : tb_alu74381.vcd 2 3 tb_alu74381.vcd : alu74381.o tb_alu74381.o 4 ghdl -e -- ieee = synopsys tb_alu / tb_alu stop -time =200ns --vcd=tb_alu74381.vcd 6 gtkwave tb_alu vcd ondas. sav 7 8 alu74381.o: alu74381.vhdl 9 ghdl -a -- ieee = synopsys alu vhdl tb_alu74381.o: tb_alu74381.vhdl 12 ghdl -a -- ieee = synopsys tb_alu vhdl clean : 15 rm *.o tb_alu74381 *. vcd *. cf Oscar Alvarado Nava UAM Azcapotzalco Estándar IEEE 1164 de VHDL y ALU TTL /29

23 Entidad con numeric std 1 library ieee ; 2 use ieee. std_logic_1164.all ; 3 use ieee. numeric_std.all ; 4 5 entity alu74381 is 6 port ( 7 s: in std_logic_vector (2 downto 0); 8 a: in std_logic_vector (3 downto 0); 9 b: in std_logic_vector (3 downto 0); 10 f: out std_logic_vector (3 downto 0) 11 ); 12 end entity alu74381 ; Oscar Alvarado Nava UAM Azcapotzalco Estándar IEEE 1164 de VHDL y ALU TTL /29

24 Descripción del comportamiento (1) 13 architecture beh of alu74381 is 14 begin 15 process (s,a,b) -- circuito combinacional 16 begin 17 case s is 18 when " 000 " => 19 f <= " 0000 "; 20 when " 001 " => 21 f <= std_logic_vector ( signed (b) - signed (a)); 22 when " 010 " => 23 f <= std_logic_vector ( signed (a) - signed (b)); 24 when " 011 " => 25 f <= std_logic_vector ( signed (b) + signed (a)); 26 when " 100 " => 27 f <= a xor b; 28 when " 101 " => 29 f <= a or b; 30 when " 110 " => 31 f <= a and b; 32 when others => -- consider las 3^9-7 combinaciones restantes 33 f <= " 1111 "; 34 end case ; 35 end process ; 36 end architecture beh ; Oscar Alvarado Nava UAM Azcapotzalco Estándar IEEE 1164 de VHDL y ALU TTL /29

25 Descripción del comportamiento (2) 13 architecture beh of alu74381 is 14 signal aint,bint,fint : signed (3 downto 0); 15 begin 16 aint <=signed (a); 17 bint <=signed (b); 18 f<= std_logic_vector ( fint ); process (s,a,b) -- circuito combinacional 21 begin 22 case s is 23 when " 000 " => 24 fint <= " 0000 "; 25 when " 001 " => 26 fint <= bint - aint ; 27 when " 010 " => 28 fint <= aint - bint ; 29 when " 011 " => 30 fint <= aint + bint ; 31 when " 100 " => 32 fint <= aint xor bint ; 33 when " 101 " => 34 fint <= aint or bint ; 35 when " 110 " => 36 fint <= aint and bint ; 37 when others => -- consider las 3^9-7 combinaciones restantes 38 fint <= " 1111 "; 39 end case ; 40 end process ; 41 end architecture beh ; Oscar Alvarado Nava UAM Azcapotzalco Estándar IEEE 1164 de VHDL y ALU TTL /29

26 Test bench (1/2) 1 library ieee ; 2 use ieee. std_logic_1164.all ; 3 use ieee. numeric_std.all ; 4 5 entity tb_alu74381 is 6 end entity tb_alu74381 ; 7 8 architecture beh of tb_alu74381 is 9 component alu74381 is 10 port ( 11 s: in std_logic_vector (2 downto 0); 12 a: in std_logic_vector (3 downto 0); 13 b: in std_logic_vector (3 downto 0); 14 f: out std_logic_vector (3 downto 0) 15 ); 16 end component alu74381 ; signal es: std_logic_vector (2 downto 0) :="000 "; 19 signal ea: std_logic_vector (3 downto 0) :="1010 "; 20 signal eb: std_logic_vector (3 downto 0) :=x"b"; 21 signal sf: std_logic_vector (3 downto 0); Oscar Alvarado Nava UAM Azcapotzalco Estándar IEEE 1164 de VHDL y ALU TTL /29

27 Test bench (2/2) 22 begin 23 u0: alu port map ( 25 s=>es, 26 a=>ea, 27 b=>eb, 28 f=>sf 29 ); 30 process -- proceso para selector 31 variable sel : signed (2 downto 0) :="000 "; 32 variable uno : signed (2 downto 0) :="001 "; 33 begin 34 wait for 20 ns; 35 es <= std_logic_vector ( sel ); 36 sel := sel + uno ; 37 end process ; end architecture beh ; Oscar Alvarado Nava UAM Azcapotzalco Estándar IEEE 1164 de VHDL y ALU TTL /29

28 Análisis, enlazado, ejecución y resultados oskr@kro: /alu numeric$ ghdl -a alu74381.vhdl oskr@kro: /alu numeric$ ghdl -a tb alu74381.vhdl oskr@kro: /alu numeric$ ghdl -e tb alu74381 oskr@kro: /alu numeric$./tb alu stop-time=200ns --vcd=tb alu74381.vcd oskr@kro: /alu numeric$ gtkwave tb alu74381.vcd Oscar Alvarado Nava UAM Azcapotzalco Estándar IEEE 1164 de VHDL y ALU TTL /29

29 Makefile 1 all : tb_alu74381.vcd 2 3 tb_alu74381.vcd : alu74381.o tb_alu74381.o 4 ghdl -e tb_alu / tb_alu stop -time =200ns --vcd=tb_alu74381.vcd 6 gtkwave tb_alu vcd ondas. sav 7 8 alu74381.o: alu74381.vhdl 9 ghdl -a alu vhdl tb_alu74381.o: tb_alu74381.vhdl 12 ghdl -a tb_alu vhdl clean : 15 rm *.o tb_alu74381 *. vcd *. cf Oscar Alvarado Nava UAM Azcapotzalco Estándar IEEE 1164 de VHDL y ALU TTL /29

Compuerta OR-Exclusiva y descripción estructural. Oscar Alvarado Nava. 16-Primavera, mayo de 2016

Compuerta OR-Exclusiva y descripción estructural. Oscar Alvarado Nava. 16-Primavera, mayo de 2016 Diseño Lógico Compuerta OR-Exclusiva y descripción estructural Oscar Alvarado Nava oan@correo.azc.uam.mx Departamento de Electrónica División de Ciencias Básicas e Ingeniería Universidad Autónoma Metropolitana,

Más detalles

Tema 3 - Modelado con HDL a nivel RTL

Tema 3 - Modelado con HDL a nivel RTL - Modelado con HDL a nivel RTL Eduardo Rodríguez Martínez Departamento de Electrónica División de Ciencias Básicas e Ingeniería Universidad Autónoma Metropolitana Unidad Azcapotzalco Email: erm@correo.azc.uam.mx

Más detalles

PRÁCTICA: LENGUAJE VHDL

PRÁCTICA: LENGUAJE VHDL PRÁCTICA: LENGUAJE Introducción Los lenguajes permiten manejar mejor grandes tamaños Los lenguajes son más flexibles que las tablas Los lenguajes son légibles por las máquinas más fácilmente que los gráficos

Más detalles

4. SUMADORES EN BINARIO PURO (I)

4. SUMADORES EN BINARIO PURO (I) TEMA 3: SISTEMAS ARITMÉTICOS Introducción y objetivos (3). Representación y codificación de la información (4-7) 2. Sistemas numéricos posicionales. Binario, hexadecimal, octal, y BCD. (8-33) 3. Números

Más detalles

VHDL. Carlos Andrés Luna Vázquez. Lección 5. Sentencias concurrentes

VHDL. Carlos Andrés Luna Vázquez. Lección 5. Sentencias concurrentes VHDL Carlos Andrés Luna Vázquez Lección 5 Sentencias concurrentes Índice Introducción Niveles de abstracción del modelado con HDL Estilos descriptivos del modelado con HDL Ventajas y limitaciones de los

Más detalles

Objetos de VHDL.! Un objeto en VHDL es un elemento que contiene. un valor de tipo específico de dato

Objetos de VHDL.! Un objeto en VHDL es un elemento que contiene. un valor de tipo específico de dato Objetos de VHDL! Un objeto en VHDL es un elemento que contiene un valor de tipo específico de dato Objetos que se pueden manipular en VHDL y sus tipos -3 clases principales de objetos: SEÑALES: similares

Más detalles

CODIFICADORES CON PRIORIDAD. Grupo 2

CODIFICADORES CON PRIORIDAD. Grupo 2 CODIFICADORES CON PRIORIDAD Grupo 2 Descripción Los codificadores son circuitos combinacionales generalmente de 2 N entradas y N salidas, donde las salidas son el código binario correspondiente al valor

Más detalles

Simulación de Diseños VHDL con Software Libre: La Herramienta GHDL

Simulación de Diseños VHDL con Software Libre: La Herramienta GHDL Simulación de Diseños VHDL con Software Libre: La Herramienta GHDL González-Gómez J. Escuela Politécnica Superior, Universidad Autónoma de Madrid, España, {Juan.Gonzalez, Eduardo.Boemo}@ii.uam.es http://www.eps.uam.es

Más detalles

INGENIERÍA DE COMPUTADORES III. Solución al examen de Septiembre 2013

INGENIERÍA DE COMPUTADORES III. Solución al examen de Septiembre 2013 Solución al examen de Septiembre 2013 PREGUNTA 1 (2 puntos) Tomando como base el siguiente código VHDL, dibuje el cronograma de evolución de las señales clk, x, a, b, c e y entre los instantes 0 y 1000

Más detalles

Sistemas Electrónicos Digitales Curso de adaptación al Grado

Sistemas Electrónicos Digitales Curso de adaptación al Grado Práctica Práctica 2 Sistemas Electrónicos Digitales Curso de adaptación al Grado Sistemas combinacionales con VHDL Universidad de Alicante Ángel Grediaga 2 Índice INTRODUCCIÓN... 3 2 CIRCUITOS COMBINACIONALES...

Más detalles

Tutorial de ModelSim PE Student Edition

Tutorial de ModelSim PE Student Edition Tutorial de ModelSim PE Student Edition Instalación Ir a http://portal.model.com/modelsim/downloads/license_agreement_form_gen.asp Rellenar los datos y selecionar Request Download Seleccionar el enlace

Más detalles

Tema 2 Descripción de VHDL (2/2)

Tema 2 Descripción de VHDL (2/2) TECNOLOGÍA DE COMPUTADORES Tema 2 Descripción de VHDL (2/2) Agustín Álvarez Marquina Tipos de sentencias en VHDL Sentencias concurrentes PROCESS Asignación de señal BLOCK Llamadas a procedimientos Llamadas

Más detalles

Ci A B S Co 0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 1 1 0 0 1 0 1 0 1 0 1 1 1 0 0 1 1 1 1 1 1

Ci A B S Co 0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 1 1 0 0 1 0 1 0 1 0 1 1 1 0 0 1 1 1 1 1 1 SEMI-SUMDOR SUMNDOS SUM CRREO B S C 0 0 0 0 0 1 1 0 1 0 1 0 1 1 0 1 SUM BINRI B S = B S =. B SUMDOR TOTL Ejemplo de suma B Ci Ci 1 1 0 0 1 i 1 1 1 0 1 Bi 1 0 0 1 Si 1 0 0 1 1 0 Co 1 1 0 0 1 Σ S Co Ci B

Más detalles

Tema 2. Funciones Lógicas. Algebra de Conmutación. Minimización de funciones Lógicas. Introducción al VHDL.

Tema 2. Funciones Lógicas. Algebra de Conmutación. Minimización de funciones Lógicas. Introducción al VHDL. Tema 2. Funciones Lógicas Algebra de Conmutación. Minimización de funciones Lógicas. Introducción al VHDL. Introducción al VHDL Definición de las estructuras básicas. Entidades: genéricos y puertos. Tipos

Más detalles

9. Dibujar el diagrama de tiempos de las siguientes asignaciones de señales.

9. Dibujar el diagrama de tiempos de las siguientes asignaciones de señales. Sistemas Digitales (66.17) Práctica 2 - VHDL 1. Crear un paquete de utilidades al cual se le vayan agregando todas las funciones, procedimientos y declaración de tipos, constantes, etc., que se creen en

Más detalles

INGENIERÍA DE COMPUTADORES 3. Solución al Trabajo Práctico - Junio de 2013

INGENIERÍA DE COMPUTADORES 3. Solución al Trabajo Práctico - Junio de 2013 Solución al Trabajo Práctico - Junio de 2013 EJERCICIO 1 En la Figura 1.1 se muestra el símbolo lógico de un circuito digital cuya función es encender una luz de aviso de un coche. Este circuito enciende

Más detalles

Práctica 6. Diseño Lógico Digital mediante VHDL

Práctica 6. Diseño Lógico Digital mediante VHDL Práctica 6. Diseño Lógico Digital mediante VHDL 6.1. Objetivos Aprender a diseñar circuitos lógicos digitales mediante el uso de lenguajes de descripción de hardware como VHDL. 6.2. Introducción al VHDL

Más detalles

VI. Especificación del Comportamiento

VI. Especificación del Comportamiento VI. Especificación del Comportamiento 1 Introducción El objetivo de un sistema electrónico es transformar datos de entradas en resultados como salidas, esta clase de actividad es conocida como Comportamiento

Más detalles

LABORATORIO DE ARQUITECTURA DE COMPUTADORES. I. T. I. SISTEMAS / GESTIÓN GUÍA DEL ALUMNO

LABORATORIO DE ARQUITECTURA DE COMPUTADORES. I. T. I. SISTEMAS / GESTIÓN GUÍA DEL ALUMNO LABORATORIO DE ARQUITECTURA DE COMPUTADORES. I. T. I. SISTEMAS / GESTIÓN GUÍA DEL ALUMNO Práctica 2: La Unidad Aritmético - Lógica Objetivos Comprender cómo se realiza un sumador con propagación de acarreo

Más detalles

1. Módulo de desarrollo Spartan 3 STARTER KIT con un FPGA xc3s200 ft256 2. Software de diseño XILINX ISE versión 10.1

1. Módulo de desarrollo Spartan 3 STARTER KIT con un FPGA xc3s200 ft256 2. Software de diseño XILINX ISE versión 10.1 Universidad Simón Bolívar Departamento de Electrónica y Circuitos EC1723, Circuitos Digitales Trimestre Septiembre-Diciembre 2008 Realización: Semana 8. Miércoles 5 de Noviembre Laboratorio Práctica 4:

Más detalles

Diseño VHDL de módulos combinacionales básicos

Diseño VHDL de módulos combinacionales básicos GRUPO DE DISEÑO HARDWARE SOFTWARE DIET ESCET URJC W H S W Informe técnico: 001_01 Fecha: 03-03-2006 Proyecto: Subproyecto: Herramientas software: Componentes hardware: Docencia VHDL Veribest Ad-hoc IT_001_01

Más detalles

Electrónica Digital Departamento de Electrónica VHDL. Bioingeniería Facultad de Ingeniería - UNER

Electrónica Digital Departamento de Electrónica VHDL. Bioingeniería Facultad de Ingeniería - UNER Electrónica Digital Departamento de Electrónica VHDL Bioingeniería Facultad de Ingeniería - UNER VHDL VHSIC Hardware Design Language VHSIC Very High Speed Integrated Circuits Verilog Handel C Celóxica

Más detalles

^6+1 2^5+1 2^2+1 2^1+1 2^ ^6+1 2^0-65.

^6+1 2^5+1 2^2+1 2^1+1 2^ ^6+1 2^0-65. ELECTRÓNICA DIGITAL 23-I-2014 PREGUNTAS TEÓRICO PRÁCTICAS: 1. Determinar el valor decimal de los números expresados en Complemento a 2. (0.25 puntos). 10011001 10011000 01100111 1 2^6+1 2^5+1 2^2+1 2^1+1

Más detalles

5. Decodificadores. Salida _1= A A A A = m = M ... Electrónica Digital. Tema

5. Decodificadores. Salida _1= A A A A = m = M ... Electrónica Digital. Tema 5. Decodificadores La función de un decodificador es la siguiente: ante una combinación concreta binaria de entrada (correspondiente a una combinación de algún código binario), activar una salida correspondiente

Más detalles

CONTADORES. Definición. Diseño y analisis de un contador binario hacia arriba de 3 bits con flip-flops JK. Otros contadores típicos.

CONTADORES. Definición. Diseño y analisis de un contador binario hacia arriba de 3 bits con flip-flops JK. Otros contadores típicos. CONTADORES Definición Diseño y analisis de un contador binario hacia arriba de 3 bits con flip-flops JK. Otros contadores típicos Descripción VHDL Ejercicios Definición Un contador es un circuito digital

Más detalles

Decodificadores/Demultiplexores. Grupo 9 Javier de Gregorio Menezo Laro de la Fuente Lastra Raúl Fernández Díaz

Decodificadores/Demultiplexores. Grupo 9 Javier de Gregorio Menezo Laro de la Fuente Lastra Raúl Fernández Díaz Decodificadores/Demultiplexores Grupo 9 Javier de Gregorio Menezo Laro de la Fuente Lastra Raúl Fernández Díaz Decodificadores Un decodificador (DEC) es un circuito combinacional que convierte un código

Más detalles

Simulación avanzada con TestBench en HDL. Ing. Andrés Miguel Airabella. Ing. Facundo Aguilera.

Simulación avanzada con TestBench en HDL. Ing. Andrés Miguel Airabella. Ing. Facundo Aguilera. Simulación avanzada con Ing. Andrés Miguel Airabella. Ing. Facundo Aguilera. Desc. del Problema Descripción HDL Synthesis Place and Route / Fit 2 - Generalidades - Generación de Estímulos Agenda - Asignaciones

Más detalles

UNIVERSIDAD NACIONAL MAYOR DE SAN MARCOS FACULTAD DE INGENIERIA ELECTRONICA ESCUELA DE ELECTRONICA

UNIVERSIDAD NACIONAL MAYOR DE SAN MARCOS FACULTAD DE INGENIERIA ELECTRONICA ESCUELA DE ELECTRONICA UNIVERSIDAD NACIONAL MAYOR DE SAN MARCOS FACULTAD DE INGENIERIA ELECTRONICA ESCUELA DE ELECTRONICA LABORATORIO Nª2: Descripciòn VHDL utilizando el Estilo Algoritmico 1.-Implemente y simule el circuito

Más detalles

Práctica I Modelado y simulación de una máquina expendedora de refrescos

Práctica I Modelado y simulación de una máquina expendedora de refrescos Práctica I Modelado y simulación de una máquina expendedora de refrescos Departamento de Ingeniería Electrónica de Sistemas Informáticos y Automática 2 1.1. Objetivos. En esta práctica vamos a modelar

Más detalles

FPGA: Herramientas de diseño

FPGA: Herramientas de diseño FPGA: Herramientas de diseño Proceso diseño Design and implement a simple unit permitting to speed up encryption with RC5-similar cipher with fixed key set on 8031 microcontroller. Unlike in the experiment

Más detalles

VHDL. Lenguaje de descripción hardware Tipos de datos

VHDL. Lenguaje de descripción hardware Tipos de datos VHDL. Lenguaje de descripción hardware Tipos de datos 2007 A.G.O. All Rights Reserved Tipos de objetos y datos VHDL predefine un conjunto relativamente limitado de tipos de datos, pero dispone de gran

Más detalles

Diseño Lógico I Facultad de Ciencias Exactas y Tecnología UNT. LENGUAJES DE DESCRIPCIÓN DE HARDWARE

Diseño Lógico I Facultad de Ciencias Exactas y Tecnología UNT. LENGUAJES DE DESCRIPCIÓN DE HARDWARE LENGUAJES DE DESCRIPCIÓN DE HARDWARE METODOS PARA DESCRIBIR CIRCUITOS LÓGICOS Descripción verbal Tablas de verdad Diagrama esquemático Expresiones del álgebra booleana Diagrama de tiempos Lenguajes de

Más detalles

Tutorial I Diseño de una máquina de refrescos utilizando el WebPack de XILINX TM

Tutorial I Diseño de una máquina de refrescos utilizando el WebPack de XILINX TM Tutorial I Diseño de una máquina de refrescos utilizando el WebPack de XILINX TM Departamento de Ingeniería Electrónica de Sistemas Informáticos y Automática 2 1.1. Introducción Al ejecutar el software

Más detalles

LABORATORIO DE CIRCUITOS DIGITALES (2005-II) QUINTA CLASE DE VHDL

LABORATORIO DE CIRCUITOS DIGITALES (2005-II) QUINTA CLASE DE VHDL LABORATORIO DE CIRCUITOS DIGITALES (2005-II) QUINTA CLASE DE VHDL MÁQUINAS DE ESTADO FINITAS (FSMs) Máquinas de Moore Máquinas de Mealy MÁQUINAS DE ESTADOS FINITAS (FSMs) Tipos: Máquina de Moore: Las salidas

Más detalles

LABORATORIO DE ARQUITECTURA DE COMPUTADORES. I. T. I. SISTEMAS / GESTIÓN GUÍA DEL ALUMNO

LABORATORIO DE ARQUITECTURA DE COMPUTADORES. I. T. I. SISTEMAS / GESTIÓN GUÍA DEL ALUMNO LABORATORIO DE ARQUITECTURA DE COMPUTADORES. I. T. I. SISTEMAS / GESTIÓN GUÍA DEL ALUMNO Práctica 3: Memorias Objetivos Comprender qué es y cómo funciona un banco de registros Comprender cómo se realiza

Más detalles

DISEÑO DE SISTEMAS ELECTRÓNICOS DIGITALES AVANZADOS

DISEÑO DE SISTEMAS ELECTRÓNICOS DIGITALES AVANZADOS Práctica 2 DISEÑO DE SISTEMAS ELECTRÓNICOS DIGITALES AVANZADOS Controlador de teclado Universidad de Alicante Curso 2013/14 Práctica 2 Práctica 2 Control del teclado 1. Introducción Esta práctica plantea

Más detalles

Hardware Libre: Clasificación y desarrollo de hardware reconfigurable en entornos GNU/Linux

Hardware Libre: Clasificación y desarrollo de hardware reconfigurable en entornos GNU/Linux Hardware Libre: Clasificación y desarrollo de hardware reconfigurable en entornos GNU/Linux Iván González, Juan González, Francisco Gómez-Arribas Escuela Politécnica Superior Universidad Autónoma de Madrid

Más detalles

DECODIFICADORES. Para cualquier código dado en las entradas solo se activa una de las N posibles salidas. 2 N

DECODIFICADORES. Para cualquier código dado en las entradas solo se activa una de las N posibles salidas. 2 N DECODIFICADORES Tienen como función detectar la presencia de una determinada combinación de bits en sus entradas y señalar la presencia de este código mediante un cierto nivel de salida. Un decodificador

Más detalles

PROBLEMA VHDL. 7 dig1. dig2. Entradas : Señales a[3..0] y b [3..0] en código GRAY Salida : Señales Dig1[6..0] y Dig2[6..0] para los visualizadores

PROBLEMA VHDL. 7 dig1. dig2. Entradas : Señales a[3..0] y b [3..0] en código GRAY Salida : Señales Dig1[6..0] y Dig2[6..0] para los visualizadores LAB. Nº: 4 HORARIO: H-441 FECHA: 2/10/2005 Se tienen 2 números en Código GRAY de 4 bits. Se requiere diseñar un circuito que obtenga la suma de estos 2 números y que muestre el resultado en formato BCD

Más detalles

ELEMENTOS Y TIPOS DE DATOS

ELEMENTOS Y TIPOS DE DATOS 1 ELEMENTOS Y TIPOS DE DATOS ELEMENTOS Y TIPOS DE DATOS Elementos Tipos de datos Librerías Std_logic y Std_logic_vector 2 ELEMENTOS Y TIPOS DE DATOS ELEMENTOS Elementos del VHDL: Comentarios Palabras reservadas.

Más detalles

V. Elementos de la Arquitectura

V. Elementos de la Arquitectura V. Elementos de la Arquitectura 1 Tipos de Datos Todos los sistemas digitales manejan la información como bits o vectores de bits. Sin embargo no es posible ni conveniente usar sólo estos tipos para todos

Más detalles

Introducción a la simulación con ModelSim.

Introducción a la simulación con ModelSim. Introducción a la simulación con ModelSim. Este es un resumen de la funcionalidad básica del simulador ModelSim. Model Sim permite muchas cosas más. Puede encontrar más información en Help->PDF Documentation->Tutorial

Más detalles

Práctica III Introducción a la descripción VHDL del procesador DLX

Práctica III Introducción a la descripción VHDL del procesador DLX Departamento de Ingeniería Electrónica de Sistemas Informáticos y Automática 3 Práctica III Introducción a la descripción VHDL del procesador DLX 3.1. Implementación del procesador DLX La configuración

Más detalles

Universidad Autónoma de Querétaro Facultad de Ingeniería

Universidad Autónoma de Querétaro Facultad de Ingeniería Universidad Autónoma de Querétaro Facultad de Ingeniería Manual de Prácticas Sistemas Digitales con Lógica Reconfigurable (SDLRI) Que como parte de los requisitos para obtener el grado de Ingeniero en

Más detalles

Implementación de una ALU de 8 bits en lenguaje VHDL

Implementación de una ALU de 8 bits en lenguaje VHDL Implementación de una ALU de 8 bits en lenguaje VHDL AUTORS: Jordi Pons Albalat. DIRECTORS: Enric Cantó Navarro. DATA: Febrer / 2002. 1. Introducción... 4 2. El lenguaje VHDL... 5 2.1 VHDL describe estructura

Más detalles

Introducción n al diseño lógico con VHDL

Introducción n al diseño lógico con VHDL Seminario de extensión de la cátedra de Introducción a los Sistemas Lógicos y Digitales Introducción n al diseño lógico con VHDL Sergio Noriega 13 de Noviembre del 2008 VHDL : Very High Speed Integrated

Más detalles

GUÍA PRÁCTICA DEL SOFTWARE ACTIVE-HDL.

GUÍA PRÁCTICA DEL SOFTWARE ACTIVE-HDL. 24/9/2013 ÁREA DE TECNOLOGÍA ELECTRÓNICA UBU GUÍA PRÁCTICA DEL SOFTWARE ACTIVE-HDL. Manual de Inicio Miguel Ángel Lozano Pérez Contenido 1.1 GUÍA DE SOFTWARE... 3 1.1.1 Primer paso: crear un diseño nuevo...

Más detalles

INTRODUCCIÓN AL LENGUAJE VHDL

INTRODUCCIÓN AL LENGUAJE VHDL INTRODUCCIÓN AL LENGUAJE VHDL LDD 2007-08 1 Introducción. ÍNDICE. 1. Conceptos básicos del lenguaje y Aplicaciones. 2. Tipos de datos y Señales. 3. Sentencias concurrentes y secuenciales. 4. Registros

Más detalles

ABSTRACCIONES DE UN SISTEMA DIGITAL

ABSTRACCIONES DE UN SISTEMA DIGITAL ABSTRACCIONES DE UN SISTEMA DIGITAL T O P D O W N FUNCIONAL ARQUITECTURAL FÍSICO Algoritmos y funciones que indican la relación E/S Componentes funcionales interconectados que definen la arquitectura Materialización

Más detalles

Lenguaje VHDL. Código para representar sistemas digitales en VHDL JJVS-09

Lenguaje VHDL. Código para representar sistemas digitales en VHDL JJVS-09 Lenguaje VHDL Código para representar sistemas digitales en VHDL 1 Las secciones fundamentales que forman el código en VHDL son: librería (LIBRARY), entidad (ENTITY) y arquitectura (ARCHITECTURE). LIBRARY:

Más detalles

TEMA IV: SÍNTESIS HARDWARE

TEMA IV: SÍNTESIS HARDWARE TEMA IV: SÍNTES HARDWARE Especificaciones Formato intermedio Partición HW/SW LA SÍNTES HARDWARE ES LA TAREA DE PASAR DE UN DOMINIO DE ABSTRACCIÓN A OTRO COMPORTAMIENTO -> ESTRUCTURA Código Implementación

Más detalles

DESCRIPCIÓN DE CIRCUITOS DIGITALES

DESCRIPCIÓN DE CIRCUITOS DIGITALES DESCRIPCIÓN DE CIRCUITOS DIGITALES Circuitos combinacionales Circuitos secuenciales Organización del diseño. Diseño genérico Operaciones iterativas Autores: Luis Entrena, Celia López, Mario García, Enrique

Más detalles

Teoría de Diseño lógico

Teoría de Diseño lógico Teoría de Diseño lógico Diseño lógico EUI ULPGC Jose Torres 1 de 45 1. Dispositivos de lógica programable Son circuitos integrados cuyas funciones lógicas se pueden reprogramar, es decir, se puede modificar

Más detalles

3.1 Introducción a VHDL

3.1 Introducción a VHDL Capítulo 3 Implementación en VHDL A continuación se va a explicar brevemente el funcionamiento de VHDL y las componentes de programación para poder entender mejor el programa. Una vez explicado esto, se

Más detalles

Sistemas Electrónicos Digitales. PRACTICA nº 7

Sistemas Electrónicos Digitales. PRACTICA nº 7 PRACTICA nº 7 Diseño de Memorias RAM y ROM. Síntesis e implementación sobre dispositivos FPGA. Síntesis empleando FFs y síntesis utilizando bits de RAM dedicados Objetivos: Descripción y síntesis de memorias

Más detalles

Generador de Secuencia Binaria Pseudo Aleatoria

Generador de Secuencia Binaria Pseudo Aleatoria Generador de Secuencia Binaria Pseudo Aleatoria Nota Técnica 12 Cristian Sisterna Introducción La generación de una secuencia pseudo aleatoria de números binarios es muy útil en ciertas ambientes de test

Más detalles

2384-27. ICTP Latin-American Advanced Course on FPGADesign for Scientific Instrumentation. 19 November - 7 December, 2012

2384-27. ICTP Latin-American Advanced Course on FPGADesign for Scientific Instrumentation. 19 November - 7 December, 2012 2384-27 ICTP Latin-American Advanced Course on FPGADesign for Scientific Instrumentation 19 November - 7 December, 2012 Sequential Logic Described in VHDL - A Design Example ARTECHE DIAZ Raul Center of

Más detalles

Manual de turbo pascal

Manual de turbo pascal Universidad Nacional Experimental De Los Llanos Occidentales Ezequiel Zamora UNELLEZ-Barinas Manual de turbo pascal Bachilleres: Martinez Ninibeth C.I:20.867.002 Mora Yaco C.I:17.205.073 Estructura de

Más detalles

+ Máquinas de Estado Finitas

+ Máquinas de Estado Finitas + Máquinas de Estado Finitas Las máquinas de estado pueden ser: SÍNCRONAS: Necesitan de la intervención de un pulso de reloj. Si la entrada participa también en la salida se denomina Máquina de estado

Más detalles

Lección 2 Introducción al lenguaje C

Lección 2 Introducción al lenguaje C Lección Introducción al lenguaje C Decimal Binario Hexadecimal A B C D E F Octal Equivalencia entre decimal, binario, hexadecimal y octal. Código ASCII (American Standard Code for Information Interchange)

Más detalles

INTRODUCCIÓN AL SOFTWARE ISE (Integrated Software Environment) DE XILINX

INTRODUCCIÓN AL SOFTWARE ISE (Integrated Software Environment) DE XILINX INTRODUCCIÓN AL SOFTWARE ISE (Integrated Software Environment) DE XILINX Índice GUÍA DE INICIO AL SOFTWARE ISE DE XILINX... 1 1. Introducción... 1 2. Inicio del software ISE (Integrated Software Environment)

Más detalles

Manual de referencia de la tarjeta BASYS 2

Manual de referencia de la tarjeta BASYS 2 Universidad Politécnica de Madrid ETSI de Telecomunicación Departamento de Ingeniería Electrónica Circuitos Electrónicos (Plan 2010) Curso 2012-2013 Manual de referencia de la tarjeta BASYS 2 Álvaro de

Más detalles

Memorias ROM: FPGA-VHDL Cómo???

Memorias ROM: FPGA-VHDL Cómo??? Memorias ROM: FPGA-VHDL Cómo??? Nota Técnica 03 Cristian Sisterna Introducción Básicamente hay dos formas de que una memoria ROM sea implementada en un FPGA a partir del código VHDL: - Deduciendo la memoria

Más detalles

EL LENGUAJE VHDL CONCEPTOS BÁSICOS

EL LENGUAJE VHDL CONCEPTOS BÁSICOS EL LENGUAJE VHDL CONCEPTOS BÁSICOS Introducción Entidades y arquitecturas Sentencias y procesos Objetos Tipos de datos y operadores Autores: Luis Entrena Arrontes, Celia López, Mario García, Enrique San

Más detalles

VHDL y el método de diseño basado en descripción y síntesis. RESUMEN.

VHDL y el método de diseño basado en descripción y síntesis. RESUMEN. VHDL y el método de diseño basado en descripción y síntesis. AUTOR : Pablo Mazzara. Grupo de Microelectrónica del IIE. Facultad de Ingeniería. Montevideo. Uruguay. e-mail mazzara@iie.edu.uy RESUMEN. Una

Más detalles

Introducción al lenguaje VHDL

Introducción al lenguaje VHDL Introducción al lenguaje VHDL Very High Speed Integrated Circuit Hardware Description Language (VHSIC HDL) Fernando Nuño García Área de Tecnología Electrónica Universidad de Oviedo 1 ÍNDICE 1.- Elementos

Más detalles

Arquitectura de Computadores. Práctica 1: Diseño Hardware de Procesadores. Práctica 1/1

Arquitectura de Computadores. Práctica 1: Diseño Hardware de Procesadores. Práctica 1/1 Arquitectura de Computadores Práctica 1: Diseño Hardware de Procesadores Práctica 1/1 Práctica 1 Ejercicio 1: Modelado VHDL de la etapa IF en el procesador DLX32 Ejercicio 2: Ampliación Y Especialización

Más detalles

Programación en C. Algoritmo y Estructura de Datos. Ing. M. Laura López. Programación en C

Programación en C. Algoritmo y Estructura de Datos. Ing. M. Laura López. Programación en C Algoritmo y Estructura de Datos Ing. M. Laura López 1 Estructura de un programa en C 2 Estructura de un programa en C #include Archivos de cabecera # define Definición de constantes Declaraciones Globales

Más detalles

Circuitos Electrónicos Digitales E.T.S.I. Telecomunicación Universidad Politécnica de Madrid. Descripciones funcionales y estructurales

Circuitos Electrónicos Digitales E.T.S.I. Telecomunicación Universidad Politécnica de Madrid. Descripciones funcionales y estructurales Circuitos Electrónicos Digitales E.T.S.I. Telecomunicación Universidad Politécnica de Madrid Descripciones funcionales y estructurales Descripciones funcionales y estructurales. Introducción al lenguaje

Más detalles

Diseño de Hardware con VHDL

Diseño de Hardware con VHDL Diseño de Hardware con VHDL Facultad de Ingeniería Laboratorio Electrónica Segundo Semestre, 2015 Field Programmable Gate Array (FPGA) De una manera superficial podemos decir que las FPGA son chips de

Más detalles

TIPOS DE DATOS BASICOS EN LENGUAJE C

TIPOS DE DATOS BASICOS EN LENGUAJE C TIPOS DE DATOS BASICOS EN LENGUAJE C TIPO char int float double void ANCHO EN BIT 64 0 TIPOS DE DATOS RANGO EN PC -12 a 127 3.4E-3 a 3.4E+3 1.7E-30 a 1.7E+30 sin valores TIPO ANCHO EN BIT RANGO EN PC char

Más detalles

LENGUAJE DE PROGRAMACION I. Ing. JAVIER ECHEGARAY ROJO Ing. WILDER ROMAN MUNIVE. Ing. Javier Echegaray Rojo / Ing. Wilder Román Munive Pag 1

LENGUAJE DE PROGRAMACION I. Ing. JAVIER ECHEGARAY ROJO Ing. WILDER ROMAN MUNIVE. Ing. Javier Echegaray Rojo / Ing. Wilder Román Munive Pag 1 Ing. JAVIER ECHEGARAY ROJO Ing. WILDER ROMAN MUNIVE Ing. Javier Echegaray Rojo / Ing. Wilder Román Munive Pag 1 CAPITULO 3. ENTRADA Y SALIDA BÁSICA Usando la librería estandar de entrada y salida, seremos

Más detalles

Curso de Programación Avanzada en C

Curso de Programación Avanzada en C Curso de Programación Avanzada en C Copyright, 1996 Universidad Sim on Bol ivar 1 Prof. Mariela J. Curiel Contenido del Curso Conceptos BásicosB Estructuras de Control Arreglos Otros tipos de datos derivados

Más detalles

Electrónica Básica. Aritmética Binaria. Electrónica Digital. José Ramón Sendra Sendra Dpto. de Ingeniería Electrónica y Automática ULPGC

Electrónica Básica. Aritmética Binaria. Electrónica Digital. José Ramón Sendra Sendra Dpto. de Ingeniería Electrónica y Automática ULPGC Electrónica Básica Aritmética Binaria Electrónica Digital José Ramón Sendra Sendra Dpto. de Ingeniería Electrónica y Automática ULPGC ARITMÉTICA BINARIA Operaciones en el sistema Binario Natural Suma Binaria

Más detalles

Java Avanzado. Guía 1. Java Avanzado Facultad de Ingeniería. Escuela de computación.

Java Avanzado. Guía 1. Java Avanzado Facultad de Ingeniería. Escuela de computación. Java Avanzado. Guía 1 Java Avanzado Facultad de Ingeniería. Escuela de computación. Java Avanzado. Guía 2 Introducción Este manual ha sido elaborado para orientar al estudiante de Java Avanzado en el desarrollo

Más detalles

DEPARTAMENTO DE ELECTRÓNICA I.T.Telecomunicación Sistemas Electrónicos

DEPARTAMENTO DE ELECTRÓNICA I.T.Telecomunicación Sistemas Electrónicos DEPARTAMENTO DE ELECTRÓNICA I.T.Telecomunicación Sistemas Electrónicos ASIGNATURA Microelectrónica FECHA Junio - 2009 APELLIDOS,NOMBRE SOLUCION Nº Lista 1 2 3 4 5 6 7 T Cuestión 1 (10 ptos) Nota: las respuestas

Más detalles

FUNDAMENTOS DE INFORMÁTICA

FUNDAMENTOS DE INFORMÁTICA FUNDAMENTOS DE INFORMÁTICA Tema 2 Expresiones, operadores y estructuras de control Departamento de Ingeniería de Sistemas y Automática Universidad de Vigo Fundamentos de Informática. Departamento de Ingeniería

Más detalles

Práctica 7. Control de una Máquina Expendedora Mediante Máquinas de Estado Finito (FSM)

Práctica 7. Control de una Máquina Expendedora Mediante Máquinas de Estado Finito (FSM) Práctica 7 7.1 Objetivo Control de una Máquina Expendedora Mediante Máquinas de Finito (FSM) El alumno aprenderá la importancia del diseño de una máquina de estados finitos (FSM) para controlar un proceso

Más detalles

Figura 0-1: Lista de palabras reservadas del VHDL A continuación se dará una serie de ejemplos de diseños VHDL de dificultad incremental

Figura 0-1: Lista de palabras reservadas del VHDL A continuación se dará una serie de ejemplos de diseños VHDL de dificultad incremental El VHDL es un lenguaje muy amplio y fue concebido inicialmente para modelado y simulación, no para síntesis. Por tanto, no todas las descripciones VHDL son sintetizables, esto es, no todas las descripciones

Más detalles

Subsistemas aritméticos y lógicos. Tema 8

Subsistemas aritméticos y lógicos. Tema 8 Subsistemas aritméticos y lógicos Tema 8 Qué sabrás al final del capítulo? Diseño de Sumadores Binarios Semisumadores Sumador completo Sumador con acarreo serie Sumador con acarreo anticipado Sumador /

Más detalles

Laboratorio de Dispositivos Integrados Especializados / Diseño de Circuitos y Sistemas Electrónicos

Laboratorio de Dispositivos Integrados Especializados / Diseño de Circuitos y Sistemas Electrónicos Práctica 1 Tutorial Objetivo Usando un diseño especialmente simple, seguir con él el flujo básico, descargando el diseño sobre la placa y verificando en ella su funcionamiento. Circuito utilizado Se trata

Más detalles

Datos y tipos de datos

Datos y tipos de datos Datos y tipos de datos Dato Representación formal de hechos, conceptos o instrucciones adecuada para su comunicación, interpretación y procesamiento por seres humanos o medios automáticos. Tipo de dato

Más detalles

CONTADORES CONTADORES ASINCRONOS ASCENDENTE

CONTADORES CONTADORES ASINCRONOS ASCENDENTE CONTADOES CONTADOES ASINCONOS ASCENDENTE S 2 J ck 2 K Vdd Vdd Vdd S J ck K S J ck K Las entradas asincronas S y estan desactivadas -- CI : 2 3 4 5 6 7 8 9 2 2 CONTADO ASINCONO DESCENDENTE S 2 J ck 2 K

Más detalles

VHDL. Lenguaje de descripción hardware Introducción e historia

VHDL. Lenguaje de descripción hardware Introducción e historia VHDL. Lenguaje de descripción hardware Introducción e historia 2007 A.G.O. All Rights Reserved s descriptivos y niveles de abstracción s descriptivos: Comportamiento Se realiza la función sin información

Más detalles

Tema 2. El lenguaje JAVA

Tema 2. El lenguaje JAVA Tema 2. El lenguaje JAVA Nomenclatura habitual Variables Tipos de variables Tipos primitivos Referencias Arrays Operadores Operadores de Java Precedencia de operadores Sentencias de control Sentencias

Más detalles

Elementos de un programa en C

Elementos de un programa en C Elementos de un programa en C Un programa en C consta de uno o más archivos. Un archivo es traducido en diferentes fases. La primera fase es el preprocesado, que realiza la inclusión de archivos y la sustitución

Más detalles

Sistema electrónico digital (binario) que procesa datos siguiendo unas instrucciones almacenadas en su memoria

Sistema electrónico digital (binario) que procesa datos siguiendo unas instrucciones almacenadas en su memoria 1.2. Jerarquía de niveles de un computador Qué es un computador? Sistema electrónico digital (binario) que procesa datos siguiendo unas instrucciones almacenadas en su memoria Es un sistema tan complejo

Más detalles

CIRCUITOS ARITMÉTICOS

CIRCUITOS ARITMÉTICOS LABORATORIO # 6 Realización: 26-05-2011 CIRCUITOS ARITMÉTICOS 1. OBJETIVOS Comprender los circuitos aritméticos dentro de la lógica binaria Utilizar sumadores totales de cuatro bits dentro de un Circuito

Más detalles

Tabla de contenidos. 1 Lógica directa

Tabla de contenidos. 1 Lógica directa Tabla de contenidos 1 Lógica directa o 1.1 Puerta SI (YES) o 1.2 Puerta Y (AND) o 1.3 Puerta O (OR) o 1.4 Puerta OR-exclusiva (XOR) 2 Lógica negada o 2.1 Puerta NO (NOT) o 2.2 Puerta NO-Y (NAND) o 2.3

Más detalles

Oliverio J. Santana Jaria. Sistemas Digitales Ingeniería Técnica en Informática de Sistemas Curso Los objetivos de este tema son:

Oliverio J. Santana Jaria. Sistemas Digitales Ingeniería Técnica en Informática de Sistemas Curso Los objetivos de este tema son: 3. Circuitos aritméticos ticos Oliverio J. Santana Jaria Sistemas Digitales Ingeniería Técnica en Informática de Sistemas Curso 2006 2007 Introducción La realización de operaciones aritméticas y lógicas

Más detalles

Correcto uso de Reset en FPGAs y su Codificación en VHDL

Correcto uso de Reset en FPGAs y su Codificación en VHDL Correcto uso de Reset en FPGAs y su Codificación en VHDL Nota Técnica 10 Cristian Sisterna Introducción En esta nota técnica se describirán con bastante detalle los distintos tipos de reset que se pueden

Más detalles

Hardware Libre: la Tarjeta Skypic, una Entrenadora para Microcontroladores PIC

Hardware Libre: la Tarjeta Skypic, una Entrenadora para Microcontroladores PIC Hardware Libre: la Tarjeta Skypic, una Entrenadora para Microcontroladores PIC Juan González Gómez Escuela Politécnica Superior Universidad Autónoma de Madrid Andrés Prieto-Moreno Torres Ifara Tecnologías,

Más detalles

Unidad Didáctica 2. Elementos básicos del lenguaje Java Tipos, declaraciones, expresiones y asignaciones

Unidad Didáctica 2. Elementos básicos del lenguaje Java Tipos, declaraciones, expresiones y asignaciones Unidad Didáctica 2 Elementos básicos del lenguaje Java Tipos, declaraciones, expresiones y asignaciones Fundamentos de Programación Departamento de Lenguajes y Sistemas Informáticos Versión 1.0.3 Índice

Más detalles

Agradecimientos. Nota de los autores. 1 Problemas, algoritmos y programas 1

Agradecimientos. Nota de los autores. 1 Problemas, algoritmos y programas 1 Prologo Agradecimientos Nota de los autores Índice general I III V VII 1 Problemas, algoritmos y programas 1 1.1 Programas y la actividad de la programación.................... 4 1.2 Lenguajes y modelos

Más detalles

Tema 3.- Predicados y sentencias condicionales

Tema 3.- Predicados y sentencias condicionales UNIVERSIDAD DE CÓRDOBA ESCUELA POLITÉCNICA SUPERIOR DE CÓRDOBA DEPARTAMENTO DE INFORMÁTICA Y ANÁLISIS NUMÉRICO PROGRAMACIÓN DECLARATIVA INGENIERÍA INFORMÁTICA ESPECIALIDAD DE COMPUTACIÓN CUARTO CURSO PRIMER

Más detalles

sumas = 58 = 48 = 73 = 59 =

sumas = 58 = 48 = 73 = 59 = Operaciones aritmeticas sencillas sumas 93 + 67 + 91 + 28 + 50 + 94 = 58 = 48 = 73 = 59 = 89 + 20 + 58 + 95 + 2 + 95 = 57 = 100 = 54 = 72 = 57 + 7 + 14 + 10 + 19 + 72 = 62 = 19 = 1 = 9 = 80 + 89 + 29 +

Más detalles

//Sección de manejo de excepciones. Las rutinas de manejo de errores //aparecen aqui

//Sección de manejo de excepciones. Las rutinas de manejo de errores //aparecen aqui PL/SQL - Oracle PL/SQL (Procedural Language/SQL) es una extensión de SQL, que agrega ciertas construcciones propias de lenguajes procedimentales, obteniendose como resultado un lenguaje estructural mas

Más detalles

SUMADOR DE PUNTO FLOTANTE EN VHDL: DISEÑO Y ANÁLISIS DE ALTERNATIVAS DE OPTIMIZACIÓN

SUMADOR DE PUNTO FLOTANTE EN VHDL: DISEÑO Y ANÁLISIS DE ALTERNATIVAS DE OPTIMIZACIÓN SUMADOR DE PUNTO FLOTANTE EN VHDL: DISEÑO Y ANÁLISIS DE ALTERNATIVAS DE OPTIMIZACIÓN Ignacio E. Sardi, Bruno L. Uberti, Fernando G. Tinetti, Antonio A. Quijano Centro de Investigación y Desarrollo en Microelectrónica

Más detalles

PRONTUARIO I. INFORMACIÓN GENERAL

PRONTUARIO I. INFORMACIÓN GENERAL UNIVERSIDAD INTERAMERICANA DE PUERTO RICO RECINTO METROPOLITANO FACULTAD DE CIENCIAS Y TECNOLOGÍA DEPARTAMENTO DE CIENCIAS DE COMPUTADORAS Y MATEMÁTICAS PRONTUARIO I. INFORMACIÓN GENERAL Título del Curso:

Más detalles