Índice. Sistemas Electronicos Digitales 2 o Curso Ingeniería Técnica Industrial. Logica Modular Secuencial. Clasificación Circuitos Digitales

Tamaño: px
Comenzar la demostración a partir de la página:

Download "Índice. Sistemas Electronicos Digitales 2 o Curso Ingeniería Técnica Industrial. Logica Modular Secuencial. Clasificación Circuitos Digitales"

Transcripción

1 Sistemas Electronicos igitales 2 o Curso Ingeniería écnica Industrial Logica Modular Secuencial José Luis Rosselló Sanz Grupo de ecnología Electrónica Universitat de les Illes Balears Introducción Registros Contadores Índice Contadores asíncronos Contadores síncronos Contadores MSI Clasificación Circuitos igitales Circuitos igitales Clasificación Circuitos igitales Circuitos igitales Combinacionales

2 Clasificación Circuitos igitales Circuitos igitales Secuenciales Combinacionales Clasificación Circuitos igitales Circuitos igitales Secuenciales Combinacionales Asíncronos Clasificación Circuitos igitales Sistema secuencial Circuitos igitales Secuenciales Combinacionales Asíncronos s Salidas Síncronos Gobernados por un reloj de sincronización Memoria

3 Registros de desplazamiento Registros de desplazamiento s Serie SL SR s en paralelo d i Control 7494 Shift Register Bidireccional Salidas q i _ Control Reset Memoria esplazamiento izquierda esplazamiento derecha Carga en paralelo Salidas 0 q i q i-, SL SR, q i- di

4 7494 Shift Register Bidireccional 7494 Shift Register Bidireccional 7494 Shift Register Bidireccional 7494 Shift Register Bidireccional

5 7494 Shift Register Bidireccional 7494 Shift Register Bidireccional 7494 Shift Register Bidireccional Control 7494 Shift Register Bidireccional Control s s Clear * Clear * Bloque combinacional

6 7494 Shift Register Bidireccional Control 7494 Shift Register Bidireccional Control s Bloque Secuencial s Bloque Secuencial Salidas Clear * Bloque combinacional Clear * Bloque combinacional ipos de contadores Contadores con registros iseñados como máquinas tipo Moore (la salida es el estado) Síncronos Más fiables y rápidos Sin estados intermedios (glitches) Más grandes Asíncronos Más lentos Presentan glitches en las transiciones Más pequeños Set S Set

7 Contadores con registros Contadores wisted ring S Set Set Contadores wisted ring Linear Feedback Shift Register (LFSR) Generador de números pseudoaleatorios

8 Habilitación Contador asíncrono Habilitación Contador asíncrono Habilitación Contador asíncrono Habilitación Contador asíncrono

9 Habilitación Contador asíncrono Habilitación Contador asíncrono A B C E F Habilitación Contador asíncrono Contador asíncrono de módulo 0 CLR CLR CLR CLR..0 F E C B A A 0

10 Contador asíncrono de módulo 0 CLR CLR CLR CLR Contador asíncrono: Inconvenientes 4t p A 0 y Ejemplo de funcionamiento 74HC38A ecodificador de a 8 A 2 A A E 2 E E

11 7438- ecodificador de a ecodificador de a ecodificador de a ecodificador de a 8

12 7438- ecodificador de a 8 74HC38A A 2 A A E 2 E E HC38A 74HC38A A 2 0 A 2 0 A A 2 2 A 0 3 A E 2 E E E 2 E E

13 Solución Strobing 74HC38A 74HC38A A 2 0 A 2 0 A A 2 2 A 0 3 A E 2 E E E 2 E E Solución Strobing Solución Strobing 74HC38A 74HC38A A 2 0 A 2 0 A A 2 2 A 0 3 A E 2 E E E 2 E E

14 Problemas propuestos iseña dos contadores, uno módulo-32 y otro módulo 20 a partir de Flip-Flops JK activos por flanco de bajada Calcula la frecuencia máxima a la que puede operar un contador asíncrono de módulo-0 si el tiempo de retardo de cada uno de sus Flip-Flops es de 20 nanosegundos (Supón que cada estado ha de ser estable durante al menos medio ciclo de reloj) Contadores síncronos odos los Flip-Flops estan conectados al mismo reloj Varian al unísono (sin presencia de glitches) Más rápidos Ocupan más area Contadores síncronos Contador binario síncrono Lógica combinacional Contador síncrono Contador asíncrono

15 Contador binario síncrono Contador binario síncrono Contador binario síncrono Contador binario síncrono

16 Contador binario síncrono Contador binario síncrono A B C E F..0 F E C B A Contador binario síncrono Contador binario síncrono..0 F E C B A F E C B A

17 iagrama de estados contador BC u= 0000 u= u= u=0 u=0 u=0 000 u=0 u= y * 3 y* 2 y* y* 0 u=0 y * 3 y* 2 y* y* 0 u= u= u=0 - - u=0 u= u= u= 0 u= u=0 u=0 u=0 u= u= u= 00 u= Ejercicio: Implementa el circuito secuencial usando Flip-Flops tipo Contador UP/OWN 0 =u + + +u +u =u +u + +u 2 =u +u +u + +u 3 = + + 4b y Sistema 4b u Combinacional y Contadores MSI 746 Contador síncrono binario de 4 bits con Reset asíncrono 7463 Contador síncrono binario de 4 bits con Reset síncrono 749 Contador binario de 4 bits Up/own

18 ablas de verdad ablas de verdad ablas de verdad ablas de verdad

19 ablas de verdad PE MR CEP CE C A B C E F C= si CE= y =F Clear síncrono del 7463 Clear síncrono del 7463 PE SR CEP CE PE SR CEP CE C A C A

20 PE MR Clear asíncrono del 746 Contador BC módulo 60 74F62 74F62 CEP CE PE PE y 4 y 5 y 6 y 7 CEP CE SR C CEP CE SR C C CP CP A y Contador BC módulo C SR Resumen Registros de desplazamiento Sirven como memoria Podemos implementar contadores al realimentarlos Contadores Asíncronos Con presencia de glitches Mas lentos Síncronos Ocupan más area Clear / Load Síncronos (Reseteamos o cargamos dato esperando al flanco de reloj Asíncrono (Reseteamos o cargamos dato de forma inmediata

21 Ejercicio propuesto Sensor de emperatura LM74 El sensor de temperatura LM74 es un dispositivo que, controlado por un reloj y una señal de control (SC y CS*), proporciona el valor de temperatura por el puerto de salida (SO). A partir de contadores 7462, de shift-register 7494 y de la lógica necesaria diseñat un sistema que cada minuto haga una lectura de la temperatura del sensor (8 bits) y la coloque en los shift-registers.

TEMA7. SISTEMAS SECUENCIALES

TEMA7. SISTEMAS SECUENCIALES Sistemas Secuenciales 1 TEMA7. SISTEMAS SECUENCIALES Los circuitos lógicos se clasifican en dos tipos: Combinacionales, aquellos cuyas salidas sólo dependen de las entradas actuales. Secuenciales, aquellos

Más detalles

Electrónica Digital. Tema 3. Diseño Síncrono

Electrónica Digital. Tema 3. Diseño Síncrono Electrónica igital Tema 3 iseño Síncrono iseño Síncrono Régimen transitorio en los circuitos digitales Concepto de espurio. Clasificación Alternativas para evitarlos iseño síncrono de Sistemas igitales

Más detalles

Tema 7. SISTEMAS SECUENCIALES SISTEMAS SECUENCIALES SÍNCRONOS

Tema 7. SISTEMAS SECUENCIALES SISTEMAS SECUENCIALES SÍNCRONOS Fundamentos de Computadores. Sistemas Secuenciales. T7-1 INDICE: Tema 7. SISTEMAS SECUENCIALES INTRODUCCIÓN SISTEMAS SECUENCIALES SÍNCRONOS TIPOS DE BIESTABLES o TABLAS DE ECITACIÓN DE LOS BIESTABLES o

Más detalles

REGISTROS DE DESPLAZAMIENTO

REGISTROS DE DESPLAZAMIENTO REGISTROS DE DESPLAZAMIENTO Es un circuito digital que acepta datos binarios de una fuente de entrada y luego los desplaza, un bit a la vez, a través de una cadena de flip-flops. Este sistema secuencial

Más detalles

ELO211: Sistemas Digitales. Tomás Arredondo Vidal 1er Semestre 2008

ELO211: Sistemas Digitales. Tomás Arredondo Vidal 1er Semestre 2008 ELO211: Sistemas Digitales Tomás Arredondo Vidal 1er Semestre 2008 Este material está basado en: textos y material de apoyo: Contemporary Logic Design 1 st / 2 nd Borriello and Randy Katz. Prentice Hall,

Más detalles

Un contador es un circuito secuencial que genera una secuencia ordenada de salidas que se repite en el tiempo. La salida coincide con el estado de

Un contador es un circuito secuencial que genera una secuencia ordenada de salidas que se repite en el tiempo. La salida coincide con el estado de CONTADORES Un contador es un circuito secuencial que genera una secuencia ordenada de salidas que se repite en el tiempo. La salida coincide con el estado de sus biestables. Los contadores son circuitos

Más detalles

Tema 8. Circuitos secuenciales de Propósito general: REGISTROS Y CONTADORES

Tema 8. Circuitos secuenciales de Propósito general: REGISTROS Y CONTADORES Registros y ontadores 1 Tema 8. ircuitos secuenciales de Propósito general: REGISTROS Y ONTORES Una colección de dos o más biestables con una entrada común se conoce como un registro. Los registros se

Más detalles

Figura 1: Símbolo lógico de un flip-flop SR

Figura 1: Símbolo lógico de un flip-flop SR FLIP-FLOPS Los circuitos lógicos se clasifican en dos categorías. Los grupos de puertas descritos hasta ahora, y los que se denominan circuitos lógicos secuenciales. Los bloques básicos para construir

Más detalles

Registros y Contadores

Registros y Contadores Registros y Contadores Mario Medina C. mariomedina@udec.cl Registros Grupos de flip-flops con reloj común Almacenamiento de datos Desplazamiento de datos Construcción de contadores simples Como cada FF

Más detalles

TEMA 3: Control secuencial

TEMA 3: Control secuencial TEMA 3: Control secuencial Esquema: Índice de contenido TEMA 3: Control secuencial...1 1.- Introducción...1 2.- Biestables...3 2.1.- Biestables asíncronos: el Biestable RS...4 2.1.1.- Biestable RS con

Más detalles

Trabajo Práctico 1. 1) Convertir los siguientes números enteros escritos en binario a: I) Octal II) Decimal III) Hexadecimal

Trabajo Práctico 1. 1) Convertir los siguientes números enteros escritos en binario a: I) Octal II) Decimal III) Hexadecimal Electrónica igital Ingeniería Informática, Universidad Católica rgentina, 2 Trabajo Práctico ) Convertir los siguientes números enteros escritos en binario a: I) Octal II) ecimal III) Hexadecimal a) b)

Más detalles

CIRCUITOS SECUENCIALES

CIRCUITOS SECUENCIALES LABORATORIO # 7 Realización: 16-06-2011 CIRCUITOS SECUENCIALES 1. OBJETIVOS Diseñar e implementar circuitos utilizando circuitos multivibradores. Comprender los circuitos el funcionamiento de los circuitos

Más detalles

Registros de Desplazamiento. Introducción n a los Sistemas Lógicos y Digitales 2009

Registros de Desplazamiento. Introducción n a los Sistemas Lógicos y Digitales 2009 Registros de esplazamiento Introducción n a los Sistemas Lógicos y igitales 2009 Sergio Noriega Introducción a los Sistemas Lógicos y igitales - 2009 Registro de desplazamiento: Es todo circuito que transforma

Más detalles

TEMA - 3 LÓGICA SECUENCIAL. REGISTROS DE DESPLAZAMIENTO Y CONTADORES. 1.- Introducción.

TEMA - 3 LÓGICA SECUENCIAL. REGISTROS DE DESPLAZAMIENTO Y CONTADORES. 1.- Introducción. T-3 Lógica ecuencial. egistros de Desplazamiento y Contadores TEMA - 3 LÓGICA ECUENCIAL. EGITO DE DEPLAZAMIENTO Y CONTADOE..- Introducción. Hemos visto que en la lógica combinacional las salidas están

Más detalles

Sistemas Secuenciales

Sistemas Secuenciales Electrónica Básica Sistemas Secuenciales Electrónica Digital José Ramón Sendra Sendra Dpto. de Ingeniería Electrónica y Automática ULPGC CIRCUITOS SECUENCIALES Combinacional: las salidas dependen de las

Más detalles

Latches, flipflops y registros

Latches, flipflops y registros Departamento de Electrónica Electrónica Digital Latches, flipflops y registros Facultad de Ingeniería Bioingeniería Universidad Nacional de Entre Ríos Circuitos secuenciales 1 Circuitos secuenciales Salida

Más detalles

SISTEMAS DIGITALES MÉTODOS DE DISEÑO LDD 2007-08 1. eman ta zabal zazu

SISTEMAS DIGITALES MÉTODOS DE DISEÑO LDD 2007-08 1. eman ta zabal zazu SISTEMAS DIGITALES MÉTODOS DE DISEÑO LDD 2007-08 1 SISTEMAS DIGITALES MÉTODOS DE DISEÑO Revisión de la metodología de diseño de sistemas digitales síncronos. Métodos de implementación de unidades de control:

Más detalles

GUIAS ÚNICAS DE LABORATORIO GENERADOR DE NÚMEROS PRIMOS AUTOR: ALBERTO CUERVO

GUIAS ÚNICAS DE LABORATORIO GENERADOR DE NÚMEROS PRIMOS AUTOR: ALBERTO CUERVO GUIAS ÚNICAS DE LABORATORIO GENERADOR DE NÚMEROS PRIMOS AUTOR: ALBERTO CUERVO SANTIAGO DE CALI UNIVERSIDAD SANTIAGO DE CALI DEPARTAMENTO DE LABORATORIOS GENERADOR DE NÚMEROS PRIMOS. OBJETIVO Un circuito

Más detalles

Circuitos Secuenciales: concepto de estado

Circuitos Secuenciales: concepto de estado Lógica Secuencial Circuitos Secuenciales: concepto de estado En los sistemas secuenciales la salida Z en un determinado instante de tiempo t i depende de X en ese mismo instante de tiempo t i y en todos

Más detalles

Contadores. Introducción n a los Sistemas Lógicos y Digitales 2009

Contadores. Introducción n a los Sistemas Lógicos y Digitales 2009 Introducción n a los Sistemas Lógicos y Digitales 29 Sergio Noriega Introducción a los Sistemas Lógicos y Digitales - 29 Contador digital: Es todo circuito o dispositivo que genera una serie de combinaciones

Más detalles

CONTADORES Y REGISTROS

CONTADORES Y REGISTROS Capítulo 7 CONTADORES Y REGISTROS 7.. CONTADORES Un contador es un circuito secuencial cuya función es seguir una cuenta o conjunto predeterminado de estados como consecuencia de la aplicación de un tren

Más detalles

7.5. Registros, contadores y registros de desplazamiento

7.5. Registros, contadores y registros de desplazamiento 7.5. Registros, contadores y registros de desplazamiento [ Wakerly 8.4 pág. 693] 7.5.1.1.Contadores síncronos y asíncronos 7.5.1.2.Señales síncronas de control 7.5.1.3.Divisores de frecuencia 7.5.2. Registros

Más detalles

Sistemas Electrónicos Digitales. Práctica 1 Multiplicador de 8 bits secuencial con desplazamiento hacia la derecha

Sistemas Electrónicos Digitales. Práctica 1 Multiplicador de 8 bits secuencial con desplazamiento hacia la derecha Sistemas Electrónicos igitales Práctica de 8 bits secuencial con desplazamiento hacia la derecha Javier Toledo Moreo pto. Electrónica, Tecnología de Computadoras y Proyectos Universidad Politécnica de

Más detalles

Tema 5: Sistemas secuenciales

Tema 5: Sistemas secuenciales Tema 5: Circuitos secuenciales 5.1 Introducción: tablas de transición, cronogramas. Hemos visto como en los circuitos combinacionales, las salidas sólo dependen de las entradas en el mismo instante de

Más detalles

Tipos de sistemas digitales: Sistemas combinacionales: las variables de salida dependen en todo instante de los valores de las variables de entrada.

Tipos de sistemas digitales: Sistemas combinacionales: las variables de salida dependen en todo instante de los valores de las variables de entrada. INTRODUCCIÓN A SISTEMAS DIGITALES Niveles de diseño: Nivel de arquitectura: identifica elementos de mayor nivel (CPU, memoria, periféricos, etc.) Nivel lógico: estructura interna de los componentes definidos

Más detalles

ÍNDICE DISEÑO DE CONTADORES SÍNCRONOS JESÚS PIZARRO PELÁEZ

ÍNDICE DISEÑO DE CONTADORES SÍNCRONOS JESÚS PIZARRO PELÁEZ ELECTRÓNICA DIGITAL DISEÑO DE CONTADORES SÍNCRONOS JESÚS PIZARRO PELÁEZ IES TRINIDAD ARROYO DPTO. DE ELECTRÓNICA ÍNDICE ÍNDICE... 1 1. LIMITACIONES DE LOS CONTADORES ASÍNCRONOS... 2 2. CONTADORES SÍNCRONOS...

Más detalles

ESTRUCTURA Y TECNOLOGÍA A DE LOS COMPUTADORES I. TEMA 5 Introducción n a los Sistemas Digitales

ESTRUCTURA Y TECNOLOGÍA A DE LOS COMPUTADORES I. TEMA 5 Introducción n a los Sistemas Digitales ESTRUCTURA Y TECNOLOGÍA A DE LOS COMPUTADORES I TEMA 5 Introducción n a los Sistemas Digitales TEMA 5. Introducción n a los Sistemas Digitales 5.1 Sistemas Digitales 5.2 Sistemas Combinacionales 5.3 Sistemas

Más detalles

Temario de Electrónica Digital

Temario de Electrónica Digital Temario de Electrónica Digital TEMA 1. INTRODUCCIÓN A LOS SISTEMAS DIGITALES. Exponer los conceptos básicos de los Fundamentos de los Sistemas Digitales. Asimilar las diferencias básicas entre Sistemas

Más detalles

MONOGRAFÍA CIENTÍFICA

MONOGRAFÍA CIENTÍFICA Diseño y Síntesis de Sistemas de Lógica Secuencial Autor: Jorge Portillo Meniz Profesor Titular de Escuela Universitaria Universidad de Las Palmas de Gran Canaria 2006 Jorge Portillo Meniz, 2006 SISTEMAS

Más detalles

Tema 11: Sistemas combinacionales

Tema 11: Sistemas combinacionales Tema 11: Sistemas combinacionales Objetivo: Introducción Generador Comprobador de paridad Comparadores Semisumador (HA) Sumador Completo (FA) Expansión de sumadores Sumador paralelo con arrastre serie

Más detalles

PROGRAMA DE CURSO Modelo 2009

PROGRAMA DE CURSO Modelo 2009 REQUISITOS: HORAS: 3 Horas a la semana CRÉDITOS: PROGRAMA(S) EDUCATIVO(S) QUE LA RECIBE(N): IETRO PLAN: 2009 FECHA DE REVISIÓN: Mayo de 2011 Competencia a la que contribuye el curso. DEPARTAMENTO: Departamento

Más detalles

Circuitos Secuenciales: concepto de estado

Circuitos Secuenciales: concepto de estado 1 Lógica Secuencial Circuitos Secuenciales: concepto de estado En los sistemas secuenciales la salida Z en un determinado instante de tiempo t i depende de X en ese mismo instante de tiempo t i y en todos

Más detalles

TEMA 11. CIRCUITOS ARITMÉTICOS TICOS DIGITALES

TEMA 11. CIRCUITOS ARITMÉTICOS TICOS DIGITALES TEM. CIRCUITOS RITMÉTICOS TICOS DIGITLES http://www.tech-faq.com/wp-content/uploads/images/integrated-circuit-layout.jpg IEEE 25 niversary: http://www.flickr.com/photos/ieee25/with/2809342254/ TEM. CIRCUITOS

Más detalles

CURSO: Electrónica digital UNIDAD III: CIRCUITOS SECUENCIALES - TEORÍA

CURSO: Electrónica digital UNIDAD III: CIRCUITOS SECUENCIALES - TEORÍA www.ceduvirt.com CURSO: Electrónica digital UNIDAD III: CIRCUITOS SECUENCIALES - TEORÍA INTRODUCCIÓN SISTEMA SECUENCIAL Un sistema combinatorio se identifica por: 1. La salida del sistema debe ser estrictamente

Más detalles

Circuitos Electrónicos. Primer parcial curso 2006-07

Circuitos Electrónicos. Primer parcial curso 2006-07 Circuitos Electrónicos. Primer parcial curso 2006-07 Ante el creciente interés por las apuestas deportivas, el Departamento Técnico de las Loterías y Apuestas del Estado os ha encargado la actualización

Más detalles

INSTITUTO POLITÉCNICO NACIONAL ESCUELA SUPERIOR DE INGENIERIA MECANICA Y ELECTRICA INGENIERIA EN COMUNICACIONES Y ELECTRÓNICA ACADEMIA DE COMPUTACIÓN

INSTITUTO POLITÉCNICO NACIONAL ESCUELA SUPERIOR DE INGENIERIA MECANICA Y ELECTRICA INGENIERIA EN COMUNICACIONES Y ELECTRÓNICA ACADEMIA DE COMPUTACIÓN INSTITUTO POLITÉCNICO NACIONAL ESCUELA SUPERIOR DE INGENIERIA MECANICA Y ELECTRICA UNIDAD CULHUACAN INGENIERIA EN COMUNICACIONES Y ELECTRÓNICA ACADEMIA DE COMPUTACIÓN LABORATORIO DE CIRCUITOS DIGITALES

Más detalles

Organización n del Computador 1. Lógica Digital 2 Circuitos y memorias

Organización n del Computador 1. Lógica Digital 2 Circuitos y memorias Organización n del Computador Lógica Digital 2 Circuitos y memorias Circuitos Secuenciales Circuitos combinatorios Funciones ooleanas El resultado depende sólo s de las entradas También n necesitamos circuitos

Más detalles

EJERCICIOS RESUELTOS DE SECUENCIALES

EJERCICIOS RESUELTOS DE SECUENCIALES EJERCICIOS RESUELTOS DE SECUENCIALES 1) El sistema de apertura de una caja fuerte está compuesto por dos teclas A y B, un circuito secuencial a diseñar y un temporizador que mantiene la caja fuerte abierta

Más detalles

Organización de Computadoras Apunte 5: Circuitos Lógicos Secuenciales

Organización de Computadoras Apunte 5: Circuitos Lógicos Secuenciales Organización de Computadoras 2003 Apunte 5: Circuitos Lógicos Secuenciales Introducción: En el desarrollo de los sistemas digitales es fundamental el almacenamiento de la información, esta característica

Más detalles

GUIAS ÚNICAS DE LABORATORIO DIAGRAMA DE ESTADOS AUTOR: ALBERTO CUERVO SANTIAGO DE CALI UNIVERSIDAD SANTIAGO DE CALI DEPARTAMENTO DE LABORATORIOS

GUIAS ÚNICAS DE LABORATORIO DIAGRAMA DE ESTADOS AUTOR: ALBERTO CUERVO SANTIAGO DE CALI UNIVERSIDAD SANTIAGO DE CALI DEPARTAMENTO DE LABORATORIOS GUIAS ÚNICAS DE LABORATORIO DIAGRAMA DE ESTADOS AUTOR: ALBERTO CUERVO SANTIAGO DE CALI UNIVERSIDAD SANTIAGO DE CALI DEPARTAMENTO DE LABORATORIOS DIAGRAMA DE ESTADOS OBJETIVO El diseño de un circuito secuencial

Más detalles

LABORATORIO DE COMPUTADORAS

LABORATORIO DE COMPUTADORAS TP 1 LABORATORIO DE COMPUTADORAS Facultad de Ingeniería. UNJu Tema: Sistemas Numéricos y Diseño Combinacional y Secuencial Apellido y Nombre: LU: Carrera: Fecha: 2013 EJEMPLOS Estándar IEEE 754 El estándar

Más detalles

1. Representación de la información en los sistemas digitales

1. Representación de la información en los sistemas digitales Oliverio J. SantanaJaria Sistemas Digitales Ingeniería Técnica en Informática de Sistemas Curso 2005 2006 1. Representación de la información en los sistemas digitales Durante Hoy Los digital tipo muchos

Más detalles

Carrera: SCB-9335 4-2-10. Proporciona conocimientos básicos para la programación de dispositivos de control digital.

Carrera: SCB-9335 4-2-10. Proporciona conocimientos básicos para la programación de dispositivos de control digital. 1.- DATOS DE LA ASIGNATURA Nombre de la asignatura: Carrera: Clave de la asignatura: Horas teoría-horas práctica-créditos Sistemas Digitales Ingeniería en Sistemas Computacionales SCB-9335 4-2-10 2.- UBICACIÓN

Más detalles

Circuitos Electrónicos. Septiembre 2005/2006. Problema 1º parcial

Circuitos Electrónicos. Septiembre 2005/2006. Problema 1º parcial Circuitos Electrónicos. Septiembre 2005/2006. Problema 1º parcial Se pretende realizar el circuito lógico interno de una máquina tragaperras de tres ruletas. El sistema completo tiene un esquema como el

Más detalles

La Unidad Procesadora.

La Unidad Procesadora. La Unidad Procesadora. En un sistema digital complejo, la capa de hardware de la máquina es el nivel más bajo del modelo de capas de un sistema microcomputarizado. La unidad procesadora es una parte del

Más detalles

CAPITULO V. Cuando hablamos de los lenguajes de programación nos referimos a diferentes formas en las que se puede escribir el programa del usuario.

CAPITULO V. Cuando hablamos de los lenguajes de programación nos referimos a diferentes formas en las que se puede escribir el programa del usuario. CAPITULO V Programación del PLC Introducción Cuando hablamos de los lenguajes de programación nos referimos a diferentes formas en las que se puede escribir el programa del usuario. Los software actuales

Más detalles

GUIAS ÚNICAS DE LABORATORIO DETECTOR DE MONEDAS AUTOR: ALBERTO CUERVO SANTIAGO DE CALI UNIVERSIDAD SANTIAGO DE CALI DEPARTAMENTO DE LABORATORIOS

GUIAS ÚNICAS DE LABORATORIO DETECTOR DE MONEDAS AUTOR: ALBERTO CUERVO SANTIAGO DE CALI UNIVERSIDAD SANTIAGO DE CALI DEPARTAMENTO DE LABORATORIOS GUIAS ÚNICAS DE LABORATORIO DETECTOR DE MONEDAS AUTOR: ALBERTO CUERVO SANTIAGO DE CALI UNIVERSIDAD SANTIAGO DE CALI DEPARTAMENTO DE LABORATORIOS DETECTOR DE MONEDAS Introducción La presente práctica de

Más detalles

CONTADORES CARACTERISTICAS IMPORTANTES UTILIDAD CONTADORES DE RIZADO. CONTADOR DE RIZADO MODULO- 16.

CONTADORES CARACTERISTICAS IMPORTANTES UTILIDAD CONTADORES DE RIZADO. CONTADOR DE RIZADO MODULO- 16. CONTADORES Son circuitos digitales lógicos secuenciales de salida binaria o cuenta binaria, caracteristica de temporizacion y de memoria, por lo cual están constituidos a base de flip-flops. CARACTERISTICAS

Más detalles

CONTADORES. Definición. Diseño y analisis de un contador binario hacia arriba de 3 bits con flip-flops JK. Otros contadores típicos.

CONTADORES. Definición. Diseño y analisis de un contador binario hacia arriba de 3 bits con flip-flops JK. Otros contadores típicos. CONTADORES Definición Diseño y analisis de un contador binario hacia arriba de 3 bits con flip-flops JK. Otros contadores típicos Descripción VHDL Ejercicios Definición Un contador es un circuito digital

Más detalles

Módulos basados en circuitos. secuenciales. Introducción. Contenido. Objetivos. Capítulo. secuenciales

Módulos basados en circuitos. secuenciales. Introducción. Contenido. Objetivos. Capítulo. secuenciales Capítulo Módulos basados en circuitos en circuitos Módulos basados Introducción Así como en el Capítulo 5 analizamos módulos basados en puertas, ahora toca referirnos a módulos construidos con biestables

Más detalles

Laboratorio 2: Mediciones Digitales

Laboratorio 2: Mediciones Digitales Objetivos: Laboratorio 2: Mediciones Digitales Conocer y utilizar con propiedad un osciloscopio de señal mixta. Manejar los conceptos de sincronización, disparo, nivel de disparo, y base de tiempo de un

Más detalles

Electrónica Digital. Conceptos Digitales. Dr. Oscar Ruano 2011-2012 1

Electrónica Digital. Conceptos Digitales. Dr. Oscar Ruano 2011-2012 1 Electrónica Digital Conceptos Digitales Dr. Oscar Ruano 2011-2012 1 Magnitudes analógicas y digitales Magnitud Analógica: toma valores continuos: Por ejemplo la temperatura no varía de entre 20ºC y 25ºC

Más detalles

GUIAS ÚNICAS DE LABORATORIO CIRCUITOS CONTADORES AUTOR: ALBERTO CUERVO SANTIAGO DE CALI UNIVERSIDAD SANTIAGO DE CALI DEPARTAMENTO DE LABORATORIOS

GUIAS ÚNICAS DE LABORATORIO CIRCUITOS CONTADORES AUTOR: ALBERTO CUERVO SANTIAGO DE CALI UNIVERSIDAD SANTIAGO DE CALI DEPARTAMENTO DE LABORATORIOS GUIS ÚNIS DE LORTORIO IRUITOS ONTDORES UTOR: LERTO UERVO SNTIGO DE LI UNIVERSIDD SNTIGO DE LI DEPRTMENTO DE LORTORIOS IRUITOS ONTDORES Introducción El contador es un circuito específico de circuito secuencial,

Más detalles

Introducción a los Circuitos Secuenciales LATCHES Y FLIP-FLOPS. Por: Carlos A. Fajardo

Introducción a los Circuitos Secuenciales LATCHES Y FLIP-FLOPS. Por: Carlos A. Fajardo Introducción a los Circuitos Secuenciales LATCHES Y FLIP-FLOPS Por: Carlos A. Fajardo cafajar@uis.edu.co Actualizado Julio de 2015 Circuitos Secuenciales Son circuitos digitales con memoria. Su salida

Más detalles

Universidad Autónoma de Baja California Facultad de Ingeniería Mexicali

Universidad Autónoma de Baja California Facultad de Ingeniería Mexicali Sumadores En este documento se describe el funcionamiento del circuito integrado 7483, el cual implementa un sumador binario de 4 bits. Adicionalmente, se muestra la manera de conectarlo con otros dispositivos

Más detalles

t i Q 7 Q 6 Q 5 Q 4 Q 3 Q 2 Q 1 Q 0

t i Q 7 Q 6 Q 5 Q 4 Q 3 Q 2 Q 1 Q 0 Clase 5 Un registro es un conjunto de n latch o Flip-Flops asociados que permiten almacenar temporalmente una palabra o grupo de n bit. Hay dos clases de registros típicos sincrónicos 1. el registro de

Más detalles

Sistemas Digitales Ingeniería Técnica en Informática de Sistemas Curso 2006 2007 Componentes básicos de memorización

Sistemas Digitales Ingeniería Técnica en Informática de Sistemas Curso 2006 2007 Componentes básicos de memorización Oliverio J. Santana Jaria Sistemas Digitales Ingeniería Técnica en Informática de Sistemas Curso 2006 2007 17. Componentes básicos b de memorización Existe La necesidad de memorizar información obliga

Más detalles

Flip Flops, Multivibradores y Contadores

Flip Flops, Multivibradores y Contadores Flip Flops, Multivibradores y Contadores INTRODUCCION Los circuitos lógicos se clasifican en dos categorías: circuitos lógicos combinacionales y circuitos lógicos secuenciales. Los bloques básicos para

Más detalles

18. Camino de datos y unidad de control

18. Camino de datos y unidad de control Oliverio J. Santana Jaria Sistemas Digitales Ingeniería Técnica en Informática de Sistemas Curso 2006 2007 18. Camino de datos y unidad de control Un La versatilidad una característica deseable los Los

Más detalles

Biestables. Luis Entrena, Celia López, Mario García, Enrique San Millán. Universidad Carlos III de Madrid

Biestables. Luis Entrena, Celia López, Mario García, Enrique San Millán. Universidad Carlos III de Madrid Biestables Luis Entrena, Celia López, Mario García, Enrique San Millán Universidad Carlos III de Madrid 1 Circuitos digitales y microprocesadores Entradas Funciones de salida Salidas Funciones de estado

Más detalles

320098 - ED - Electrónica Digital

320098 - ED - Electrónica Digital Unidad responsable: 320 - EET - Escuela de Ingeniería de Terrassa Unidad que imparte: 710 - EEL - Departamento de Ingeniería Electrónica Curso: Titulación: 2015 GRADO EN INGENIERÍA DE SISTEMAS AUDIOVISUALES

Más detalles

Problemas de Electrónica Digital Tema 5 Flip-Flops. Circuitos Secuenciales Síncronos

Problemas de Electrónica Digital Tema 5 Flip-Flops. Circuitos Secuenciales Síncronos UNIVERSIDAD DE VALLADOLID Departamento de Electricidad y Electrónica Problemas de Electrónica Digital Tema 5 Flip-Flops. Circuitos Secuenciales Síncronos. - Se desea disponer de un contador asíncrono de

Más detalles

Estructura y Tecnología de Computadores (ITIG) Luis Rincón Córcoles Ángel Serrano Sánchez de León

Estructura y Tecnología de Computadores (ITIG) Luis Rincón Córcoles Ángel Serrano Sánchez de León Estructura y Tecnología de Computadores (ITIG) Luis Rincón Córcoles Ángel Serrano Sánchez de León Programa. Introducción. 2. Elementos de almacenamiento. 3. Elementos de proceso. 4. Elementos de interconexión.

Más detalles

Registros de desplazamiento

Registros de desplazamiento Registros de desplazamiento Definición de registro de desplazamiento básico Tipos de registro de desplazamiento Configuraciones específicas Aplicaciones más típicas VHDL Ejercicio propuestos Definición

Más detalles

13-Bloques Básicos Secuenciales

13-Bloques Básicos Secuenciales 3-Bloques Básicos Secuenciales 3. Bloques básicos 3.2 Ejemplos de diseños 3: Bloques Básicos Bloques Básicos Secuenciales Bloques básicos secuenciales Contadores Registros de desplazamiento (o corrimiento)

Más detalles

Arquitecturas de Computadores. 4 Sistemas Combinacionales y Secuenciales Prof. Javier Cañas R.

Arquitecturas de Computadores. 4 Sistemas Combinacionales y Secuenciales Prof. Javier Cañas R. Arquitecturas de Computadores 4 Sistemas Combinacionales y Secuenciales Prof. Javier Cañas R. Temario 1. Introducción 2. Sistemas Combinacionales (SC) 3. Implantación de SC mediante PLA 4. Sistemas Secuenciales

Más detalles

PROYECTO CURRICULAR. Electrónica Digital y Microprogramable

PROYECTO CURRICULAR. Electrónica Digital y Microprogramable PROYECTO CURRICULAR Electrónica Digital y Microprogramable Ciclo Formativo Grado Medio Equipos Electrónicos de Consumo CAPACIDADES TERMINALES 1 Analizar funcionalmente circuitos electrónicos digitales,

Más detalles

AUTOMATIZACION. Reconocer la arquitectura y características de un PLC Diferenciar los tipos de entradas y salidas

AUTOMATIZACION. Reconocer la arquitectura y características de un PLC Diferenciar los tipos de entradas y salidas AUTOMATIZACION GUIA DE TRABAJO 2 DOCENTE: VICTOR HUGO BERNAL UNIDAD No. 3 OBJETIVO GENERAL Realizar una introducción a los controladores lógicos programables OBJETIVOS ESPECIFICOS: Reconocer la arquitectura

Más detalles

Figura 1.1 Diagrama en bloque de un Circuito Lógico Secuencial

Figura 1.1 Diagrama en bloque de un Circuito Lógico Secuencial CAPITULO Circuitos Lógicos Secuenciales síncronos. Introducción Los circuitos lógicos secuenciales síncronos son aquellos circuitos donde los valores lógicos de salida dependen de las combinaciones de

Más detalles

Tema 2: Circuitos Secuenciales

Tema 2: Circuitos Secuenciales Tema 2: Circuitos Secuenciales Contenidos 2. Introducción 2.2 Descripción de un Sistema Secuencial 2.3 Elementos con Memoria 2.4 Análisis y Síntesis de Circuitos Secuenciales 2. Introducción Diagrama de

Más detalles

Registros y contadores

Registros y contadores Universidad Rey Juan Carlos Registros y contadores Norberto Malpica norberto.malpica@urjc.es Ingeniería de Tecnologías Industriales Registros y contadores 1 Esquema 1. Concepto de registro. 2. Registros

Más detalles

CURSO DISEÑO DE SISTEMAS DIGITALES MEDIANTE VHDL PARA SU IMPLEMENTACIÓN CON FPGAS. 40 horas (15 horas teoría + 25 horas práctica)

CURSO DISEÑO DE SISTEMAS DIGITALES MEDIANTE VHDL PARA SU IMPLEMENTACIÓN CON FPGAS. 40 horas (15 horas teoría + 25 horas práctica) CURSO DISEÑO DE SISTEMAS DIGITALES MEDIANTE VHDL PARA SU IMPLEMENTACIÓN CON FPGAS 40 horas (15 horas teoría + 25 horas práctica) OBJETIVOS Aprendizaje del lenguaje VHDL para el diseño de sistemas digitales

Más detalles

FUNDAMENTOS DE COMPUTADORES INGENIERÍA TÉCNICA INFORMÁTICA DE GESTIÓN

FUNDAMENTOS DE COMPUTADORES INGENIERÍA TÉCNICA INFORMÁTICA DE GESTIÓN FUNDAMENTOS DE COMPUTADORES INGENIERÍA TÉCNICA INFORMÁTICA DE GESTIÓN LISTADO DE PRÁCTICAS CURSO 2005/2006 Practicas de Fundamentos de Computadores (05/06) 2 Práctica 1 Construcción de Funciones Lógicas

Más detalles

PRÁCTICA 4. CONTADORES

PRÁCTICA 4. CONTADORES PRÁCTICA 4. CONTADORES 1. Objetivo En esta práctica se va a estudiar el funcionamiento de los circuitos secuenciales, tomando como ejemplo varios contadores. 2. Material necesario La práctica se realizará

Más detalles

Circuitos lógicos MSI Combinacionales

Circuitos lógicos MSI Combinacionales Departamento de Electrónica Electrónica Digital Circuitos lógicos MSI Combinacionales Facultad de Ingeniería Bioingeniería Universidad Nacional de Entre Ríos 1 Temario Decodificadores / Conversores de

Más detalles

CODIFICADORES CON PRIORIDAD. Grupo 2

CODIFICADORES CON PRIORIDAD. Grupo 2 CODIFICADORES CON PRIORIDAD Grupo 2 Descripción Los codificadores son circuitos combinacionales generalmente de 2 N entradas y N salidas, donde las salidas son el código binario correspondiente al valor

Más detalles

SUBSISTEMAS SECUENCIALES. Tema 7: SUBSISTEMAS SECUENCIALES

SUBSISTEMAS SECUENCIALES. Tema 7: SUBSISTEMAS SECUENCIALES Tema 7: SUBSISTEMAS SECUENCIALES Contenido * Contadores:Cuenta modular, ascendente/descendente, de rizado( ripple)/síncrono, salidas de fin de ciclo, operaciones especiales. * iseño de contadores con contadores.

Más detalles

Proyecto final Diseño de un circuito secuencial. utilizando un contador binario de cuatro bits

Proyecto final Diseño de un circuito secuencial. utilizando un contador binario de cuatro bits Instituto tecnológico de Oaxaca Electrónica digital II ECC047 Proyecto final Diseño de un circuito secuencial utilizando un contador binario de cuatro bits Catedrático: Alumno: Rodríguez Calvo Enrique

Más detalles

PROGRAMA DE LA ASIGNATURA: LABORATORIO DE ELECTRÓNICA DIGITAL

PROGRAMA DE LA ASIGNATURA: LABORATORIO DE ELECTRÓNICA DIGITAL HOJA 1 DE 6 PROGRAMA DE LA ASIGNATURA: LABORATORIO DE ELECTRÓNICA DIGITAL CENTRO: TITULACIÓN: ESPECIALIDADES: CURSO: TIPO DE ASIGNATURA: CRÉDITOS: E.T.S. DE INGENIEROS DE TELECOMUNICACIÓN INGENIERO DE

Más detalles

Circuitos secuenciales

Circuitos secuenciales UNIDAD 6 Circuitos secuenciales Introducción a la unidad En los capítulos anteriores hemos manejado los elementos básicos que conforman un sistema digital. Por un lado el manejo binario de la información

Más detalles

Organización del Computador 1 Lógica Digital 2: circuitos y memor

Organización del Computador 1 Lógica Digital 2: circuitos y memor Organización del Computador 1 Lógica Digital 2: circuitos y memorias Departamento de Computación Facultad de Ciencias Exactas y Naturales Universidad de Buenos Aires Septiembre 2009 Circuitos secuenciales

Más detalles

FACULTAD DE INGENIERÍAS Y ARQUITECTURA ESCUELA ACADÉMICO PROFESIONAL DE INGENIERÍA ELECTRÓNICA Y TELECOMUNICACIONES : SISTEMAS DIGITALES I SÍLABO

FACULTAD DE INGENIERÍAS Y ARQUITECTURA ESCUELA ACADÉMICO PROFESIONAL DE INGENIERÍA ELECTRÓNICA Y TELECOMUNICACIONES : SISTEMAS DIGITALES I SÍLABO I.-DATOS GENERALES SÍLABO CARRERA PROFESIONAL : INGENIERÍA ELECTRÓNICA Y CÓDIGO CARRERA PROFESIONAL : 29 ASIGNATURA : CÓDIGO DE ASIGNATURA : 2902-29213 CÓDIGO DE SÍLABO : 2921330072014 Nº DE HORAS TOTALES

Más detalles

5.1.1 Sumadores con anticipación de Acarreo. g i = a i b i. c i = c i-1 p i + g i s i = p i + c i-1. c 0 = g 0 + c -1 p 0

5.1.1 Sumadores con anticipación de Acarreo. g i = a i b i. c i = c i-1 p i + g i s i = p i + c i-1. c 0 = g 0 + c -1 p 0 5.1.1 Sumadores con anticipación de Acarreo. El sumador paralelo de n bits que se ha mostrado hasta ahora, tiene un nivel de retardo de 2*n puertas, pues necesita 2*n etapas de puertas lógicas para que

Más detalles

ÍNDICE 1. EL SISTEMA DE NUMERACIÓN BINARIO, BASE DE LA ELECTRÓNICA DIGITAL............................. 1 Introducción.......................................... 1 Sistemas de numeración decimal y binario..................

Más detalles

Tema 4. Sistemas Secuenciales

Tema 4. Sistemas Secuenciales Tema 4. istemas ecuenciales Índice Conceptos básicos Biestables: concepto y tipos egistros Almacenamiento esplazamiento erie-erie / erie-paralelo Paralelo-erie / Paralelo-Paralelo Universales Contadores

Más detalles

INDICE CYNTHIA P.GUERRERO SAUCEDO PALOMA G. MENDOZA VILLEGAS 1

INDICE CYNTHIA P.GUERRERO SAUCEDO PALOMA G. MENDOZA VILLEGAS 1 INDICE UNIDAD 1: SISTEMAS NUMERICOS 1 SISTEMA BINARIO...3 1.1 CONVERSION DE DECIMAL A BINARIO...4 1.2 CONVERSION DE BINARIO A DECIMAL...6 1.3 ARITMETICA BINARIA.. 102 2. SISTEMA HEXADECIMAL......7 2.1

Más detalles

UD.-5. Circuitos secuenciales: análisis y diseño de registros y de contadores.

UD.-5. Circuitos secuenciales: análisis y diseño de registros y de contadores. UD.-5. Circuitos secuenciales: análisis y diseño de registros y de contadores. José Gorjón Índice Objetivos. Introducción. Análisis de registros de almacenamiento. Análisis de registros de desplazamiento.

Más detalles

CIRCUITOS ARITMÉTICOS

CIRCUITOS ARITMÉTICOS LABORATORIO # 6 Realización: 26-05-2011 CIRCUITOS ARITMÉTICOS 1. OBJETIVOS Comprender los circuitos aritméticos dentro de la lógica binaria Utilizar sumadores totales de cuatro bits dentro de un Circuito

Más detalles

FUNDAMENTOS DE COMPUTADORES

FUNDAMENTOS DE COMPUTADORES UNIVERSIDAD POLITÉCNICA DE MADRID ESCUELA TÉCNICA SUPERIOR DE INGENIERÍA DE SISTEMAS INFORMÁTICOS FUNDAMENTOS DE COMPUTADORES Laboratorio 7: Contadores Guion de Práctica Juan José Cuervas-Mons Elvira Vicente

Más detalles

Sistemas Digitales Ingeniería Técnica en Informática de Sistemas Curso 2006 2007 Introducción a la lógica binaria

Sistemas Digitales Ingeniería Técnica en Informática de Sistemas Curso 2006 2007 Introducción a la lógica binaria binariaoliverio J. Santana Jaria 6. Introducción n a la lógica l Sistemas Digitales Ingeniería Técnica en Informática de Sistemas Curso 2006 2007 Las cuándo lógica una es determinada la parte del razonamiento

Más detalles

PLC CONTROLADOR LOGICO PROGRAMABLE

PLC CONTROLADOR LOGICO PROGRAMABLE PLC CONTROLADOR LOGICO PROGRAMABLE PLC Los Controladores Lógicos Programables o PLC (Programmable Logic Controller por sus siglas en inglés) son dispositivos ampliamente usados en la Automatización Industrial.

Más detalles

Sube Selector Canales. Canal. Baja. Tema 4: Bases Matemáticas II. 4.1 Sistemas con memoria o secuenciales. 4.1.1 Introducción.

Sube Selector Canales. Canal. Baja. Tema 4: Bases Matemáticas II. 4.1 Sistemas con memoria o secuenciales. 4.1.1 Introducción. Bases Matemáticas II - ágina 1 de 11 Tema 4: Bases Matemáticas II. 4.1 Sistemas con memoria o secuenciales. 4.1.1 Introducción. Hasta ahora hemos tratados con dispositivos lógicos cuyas salidas dependían

Más detalles

Generación de funciones lógicas mediante decodificadores binarios con salidas activas a nivel alto

Generación de funciones lógicas mediante decodificadores binarios con salidas activas a nivel alto Generación de funciones lógicas mediante decodificadores binarios con salidas activas a nivel alto Apellidos, nombre Martí Campoy, Antonio (amarti@disca.upv.es) Departamento Centro Informática de Sistemas

Más detalles

BOLETIN 7: Subsistemas secuenciales

BOLETIN 7: Subsistemas secuenciales BOLETIN 7: Subsistemas secuenciales Problemas básicos P. Realice el diagrama de estados de un C.S.S. que funcione como un contador módulo 4 ascendente/descendente en función de una entrada de control.

Más detalles

GUIAS ÚNICAS DE LABORATORIO SISTEMA DIGITAL DE SEGURIDAD AUTOR: ALBERTO CUERVO

GUIAS ÚNICAS DE LABORATORIO SISTEMA DIGITAL DE SEGURIDAD AUTOR: ALBERTO CUERVO GUIAS ÚNICAS DE LABORATORIO SISTEMA DIGITAL DE SEGURIDAD AUTOR: ALBERTO CUERVO SANTIAGO DE CALI UNIVERSIDAD SANTIAGO DE CALI DEPARTAMENTO DE LABORATORIOS SISTEMA DIGITAL DE SEGURIDAD Introducción El artículo

Más detalles

Tema 14: Sistemas Secuenciales

Tema 14: Sistemas Secuenciales Tema 14: Sistemas Secuenciales Objetivos: (CONTADORES) Introducción. Características de los contadores. Contadores Asíncronos. Contadores Síncronos. 1 INTRODUCCIÓN Los contadores son sistemas secuenciales

Más detalles

Electrónica Digital Área de Ingeniería Mecatrónica Carrera/programa de Ingeniería Electrónica e Ingeniería Electromecánica

Electrónica Digital Área de Ingeniería Mecatrónica Carrera/programa de Ingeniería Electrónica e Ingeniería Electromecánica Programa del curso MT-4001 Electrónica Digital Área de Ingeniería Mecatrónica Carrera/programa de Ingeniería Electrónica e Ingeniería Electromecánica I parte: Aspectos relativos al plan de estudios 1 Datos

Más detalles

Tema 4: Diseño de un microprocesador

Tema 4: Diseño de un microprocesador Tema : Diseño de un microprocesador Febrero de Tema : Diseño de un microprocesador Febrero de / 7 Índice Introducción Visión general de la implementación El camino de datos Control del camino de datos

Más detalles

* En una computadora el microprocesador se comunica con uno de los siguientes dispositivos:

* En una computadora el microprocesador se comunica con uno de los siguientes dispositivos: Funciones incompletas Son funciones cuyo valor puede ser indistintamente 0 ó 1 para algunas combinaciones de las variables de entrada, bien porque dichas combinaciones no vayan a darse nunca en la práctica

Más detalles