Diseño de Sistemas Embebidos en FPGA Curso de Posgrado Facultad de Ciencias Exactas y Tecnología UNT.

Tamaño: px
Comenzar la demostración a partir de la página:

Download "Diseño de Sistemas Embebidos en FPGA Curso de Posgrado Facultad de Ciencias Exactas y Tecnología UNT."

Transcripción

1 Tema 4 Parte 1: Tecnologías de configuración Parte 2: Lenguajes de descripción de Hardware#4

2 TECNOLOGÍA Tipo de elemento de configuración utilizado. La tecnología de los elementos de configuración influye en la velocidad de operación y en el aprovechamiento del área de semiconductor. CLASES DE ELEMENTOS DE CONFIGURACIÓN No volátiles Conservan el estado de corte o conducción al dejar de alimentar el circuito. Volátiles No memorizan el estado al desconectar la alimentación del circuito. Esto hace que la configuración tenga que almacenarse en un sistema externo.

3

4 TECNOLOGÍAS BASADAS EN CELDAS DE MEMORIA El estado de un elemento de configuración está determinado por el valor almacenado en una celda de memoria: No volátil: Volátil: SRAM. EPROM, EEPROM, FLASH, antifusible. Las celdas de memoria no están concentradas sino distribuidas por todo el dispositivo y cerca del elemento que configuran.

5 TECNOLOGÍAS BASADAS EN CELDAS DE MEMORIA SRAM Los interruptores programables están formados por transistores MOS de transmisión o paso, controlados mediante elementos de configuración que son celdas de memoria estática denominadas SRAM (Static Random Access Memory)

6 TECNOLOGÍAS BASADAS EN CELDAS DE MEMORIA SRAM En la figura se representa la estructura típica de la celda de una memoria SRAM (Static Random Access Memory). Dicha celda constituye el elemento de configuración y está formada por un biestable de tecnología CMOS y un transistor de control que permanece cortado durante la operación normal de la FPGA, y conduce para almacenar el nivel lógico de la línea de dato en el biestable, durante el proceso de configuración, o para leer el contenido del biestable a través de la línea de dato durante el proceso de lectura de la configuración (Readback).

7 TECNOLOGÍA BASADA EN CELDAS DE MEMORIA No volátil Transistor MOS de canal N de puerta flotante de espesor aislante reducido, borrable mediante impulsos eléctricos: a) Polarización durante la operación de escritura o programación; b) Polarización durante la operación de borrado o desactivación.

8 TECNOLOGÍAS BASADAS EN ANTIFUSIBLES Antifusible (Antifuse) Elemento de memoria constituido por dos zonas conductoras separadas por un aislante de impedancia muy alta. Al aplicar una tensión elevada entre las dos zonas conductoras el aislante se convierte en conductor y pasa a tener una impedancia baja. Esta situación es irreversible y por ello el elemento no es reprogramable. Además su comportamiento es inverso al de un fusible y por ello se le denomina antifusible

9 TECNOLOGÍAS BASADAS EN ANTIFUSIBLES Antifusible (Antifuse) Características Menor retardo. Menor tamaño del elemento de configuración. No volátil. Mayor tolerancia a la radiación electromagnética. Suelen recibir el nombre de OTP FPGA.

10 PROCESO DE CONFIGURACIÓN

11 CONFIGURACIÓN FUERA DEL SISTEMA (OFF SYSTEM PROGRAMMABLE)

12 CONFIGURACIÓN EN EL SISTEMA (IN SYSTEM PROGRAMMABLE)

13 CONFIGURACIÓN EN EL SISTEMA (IN SYSTEM PROGRAMMABLE)

14 CONFIGURACIÓN EN EL SISTEMA (IN SYSTEM PROGRAMMABLE)

15 CONFIGURACIÓN A TRAVES DE UN CANAL SERIE Preguntas que se tuvieron que hacer los ingenieros de los laboratorios de desarrollo de los fabricantes de circuitos FPGA en la década de 1990: - La configuración de una FPGA es un proceso con unas características especiales? Respuesta: Si. Es un proceso de control distribuido. El procesador de comunicaciones que forma parte del procesador de configuración tiene que enviar información a muchos sitios situados en puntos diferentes de la FPGA y debe comprobar que dicha información ha llegado al punto correcto. Hay que establecer una comunicación serie que recorra muchos sitios de la FPGA porque una comunicación paralelo es inviable. - Existe algún tipo de solución hardware de una comunicación serie en el interior de un circuito integrado ya desarrollada que pueda servir para llevar a cabo el proceso de configuración? Respuesta: Si. Existe JTAG ( Joint Test Action Group). Deberíamos aprovecharla. Y eso hicieron.

16 BREVE HISTORIA Y DESCRIPCIÓN DE JTAG Verificación deun sistema Proceso mediante el cual se comprueba que su comportamiento es ACORDE CON LAS ESPECIFICACIONES. Es un proceso inherente a la Tecnología, y su importancia ha ido creciendo simultáneamente con el aumento de la complejidad de los sistemas creados por el ser humano. Para realizar la verificación de un sistema SE APLICAN DETERMINADOS ESTÍMULOS A SUS ENTRADAS Y SE OBSERVA LA RESPUESTA OBTENIDA A SUS SALIDAS. Dichos estímulos pueden ser los mismos que recibe el sistema en funcionamiento normal, o específicos para efectuar la verificación. Sistemas digitales complejos realizados en un circuito integrado monolítico VLSI o ULSI Al fabricar un circuito integrado ULSI o GLSI se pueden producir numerosos fallos que son necesarios detectar antes de que se conecte con otros para constituir un sistema complejo. El número de puntos a los que se tiene acceso desde el exterior está limitado en la práctica y es muy útil, en general, INCLUIR CIRCUITOS DIGITALES ADICIONALES O REDUNDANTES (innecesarios desde un punto de vista funcional) para facilitar la verificación, y aumentar en un número pequeño los terminales de entrada y salida por encima de los estrictamente necesarios desde el punto de vista operativo. Esto sólo ha sido posible gracias al abaratamiento del sistema físico (hardware) propiciado por la elevación de la capacidad de integración.

17 BREVE HISTORIA Y DESCRIPCIÓN DE JTAG Conceptos más importantes ligados a la verificación de los sistemas digitales complejos Controlabilidad (Controllability) Capacidad para fijar el estado de cualquiera de los nodos internos de un sistema a partir de los valores de las entradas, es decir, sin necesidad de tener acceso directo al nodo. Observabilidad (Observability) Capacidad para conocer el estado de uno cualquiera de los nodos internos de un sistema mediante la observación de las salidas operativas, es decir, sin necesidad de tener acceso directo al nodo. Factor clave: ELECCIÓN DE LOS PUNTOS QUE SE DEBEN CONTROLAR U OBSERVAR en un circuito para maximizar la probabilidad de detección de errores al comprobar su correcto funcionamiento. Depende de las características particulares de cada sistema, de la aplicación a que se destina el mismo y de las posibles fuentes externas de errores. En el caso de los circuitos digitales configurables hay que comprobar la programación de los elementos que establecen la configuración.

18 BREVE HISTORIA Y DESCRIPCIÓN DE JTAG Técnicas para realizar la verificación de un sistema digital complejo - Verificación estática, activa o no concurrente (Testing) - Verificación dinámica, pasiva o concurrente (Checking)

19 BREVE HISTORIA Y DESCRIPCIÓN DE JTAG Verificación estática, activa o no concurrente (Testing) Se puede efectuar de dos formas diferentes con el sistema fuera de servicio: - Directamente sobre un prototipo. Solo válido para sistemas sencillos. - Indirectamente sobre un modelo descriptivo de su comportamiento utilizando un simulador del mismo. La simulación se utiliza durante el proceso de diseño de un sistema digital complejo que se pretende realizar mediante un circuito digital a medida o mediante un circuito digital configurable.

20 BREVE HISTORIA Y DESCRIPCIÓN DE JTAG Verificación dinámica, pasiva o concurrente (Checking) Se puede denominar en español comprobación o prueba. Se lleva a cabo de forma simultánea con la operación del sistema o sin desconectarlo del entorno en el que funciona normalmente, lo que constituye una de sus principales ventajas. Para realizarla se compara el comportamiento del sistema con un modelo abstracto del mismo. Presenta el inconveniente de que no permite detectar fallos latentes, que son los que están presentes en el sistema en un momento dado sin haber producido todavía un efecto detectable. Los fallos latentes no se detectan hasta que el funcionamiento normal del sistema propaga sus efectos hasta la salida, es decir hasta que se produce un error.

21 BREVE HISTORIA Y DESCRIPCIÓN DE JTAG DFT (Design For Testability) estructuradas para la verificación externa Técnicas de aplicación general consistentes en añadir elementos de forma sistemática para lograr un elevado grado de verificabilidad. Su evolución es el resultado de las actividades de desarrollo tecnológico realizadas por los principales fabricantes de procesadores digitales que fueron posibles gracias a la elevación de la capacidad de integración a partir de mediados de la década de La más utilizada de las primeras técnicas : Técnica del camino de exploración (Scan path technique) La técnica del camino de exploración es difícil de aplicar en los sistemas digitales complejos que contienen gran cantidad de registros repartidos espacialmente, porque hay que enlazarlos entre sí para tener acceso a todos ellos.

22 BREVE HISTORIA Y DESCRIPCIÓN DE JTAG NACIMIENTO DE JTAG Los inconvenientes de la técnica del camino de exploración hizo que en 1985 varias empresas europeas y norteamericanas llegasen a un acuerdo para desarrollar una técnica normalizada que pudiese ser aceptada por todos y aplicable a cualquier tipo de sistema digital tanto si está formado por un solo circuito integrado como por varios colocados en una placa de circuito impreso. Para ello formaron un grupo de trabajo denominado "Joint Test Action Group"(JTAG) que desarrolló un sistema orientado a la verificación externa, que fue finalmente aceptado por el IEEE en 1990 bajo la norma IEEE denominada también norma de exploración periférica (Boundary Scan Standard).

23 BREVE HISTORIA Y DESCRIPCIÓN DE JTAG Para reducir al mínimo los terminales del circuito integrado dedicados a las funciones de verificación, JTAG combina cuatro señales con uncircuito digital que constituye un procesador de verificación. Dichas señales constituyen el puerto de acceso a laverificación TAP (Test Access Port) y son: -Entrada de datos de verificación TDI (Test Data Input) A través de ella se introducen las instrucciones de verificación así como los vectores de estímulo y los resultados de laverificación del sistema digital precedente. Es laentrada de exploración de laprimera celda de exploración periférica. -Salida de datos de verificación TDO (Test Data Output) A través ella salen los resultados de la verificación procedentes de las celdas de exploración periférica. - Señal de reloj deverificación TCK (Test Clock Input) - Entrada de selección del modo de verificación TMS (Test Mode Select) Indica al procesador de verificación el modo en el que debe funcionar. El estado cero corresponde al modo de verificación y el uno al de funcionamiento normal y si el estado uno se mantiene durante al menos cinco impulsos de reloj se reinicializa el procesador de verificación.

24 Parte 2: Diseño de Sistemas Embebidos en FPGA Curso de Posgrado Lenguajes de descripción de Hardware#4

25 Descripción del sistema - Descripción estructural (Structural modeling) Especifica los elementos y sus Interconexiones -Descripción funcional o de comportamiento (Behavioural modeling) Describe el funcionamiento -Descripción física o geométrica (Physical design) Genera los datos para la realización física Usaremos la descripción funcional para describir sistemas secuenciales

26 Tipos de sistemas digitales Sistemas Secuenciales Elementos de Memoria Entradas Circuito Combinacional Salidas Sistemas Combinacionales x x 1 2 SC z z 1 2 z f ( x, x,, x ) z f ( x, x,, x ) n n x n z m z f ( x, x,, x ) m m 1 2 n

27 Tipos de sistemas secuenciales #1 Sistemas secuenciales asincrónicos Elementos de Retardo s1, s2,, s k S1, S2,, Sk z f ( x, x,, x, s, s,, s ) n 1 2 z f ( x, x,, x, s, s,, s ) n 1 2 z f ( x, x,, x, s, s,, s ) m m 1 2 n 1 2 k k k Circuito Combinacional x1, x2,, xn 1 2 z, z,, zm S fs ( x, x,, x, s, s,, s ) n 1 2 S fs ( x, x,, x, s, s,, s ) n 1 2 k k S fs ( x, x,, x, s, s,, s ) k k 1 2 n 1 2 k

28 Tipos de sistemas secuenciales#2 Sistemas Secuenciales Sincrónicos z f ( x, x,, x, s, s,, s ) 1, u n 1, u 2, u k, u z f ( x, x,, x, s, s,, s ) 2, u n 1, u 2, u k, u u clock z f ( x, x,, x, s, s,, s ) m, u m 1 2 n 1, u 2, u k, u s, s,, s 1, u 2, u k, u Elementos de Memoria s fs ( x, x,, x, s, s,, s ) 1, u n 1, u 2, u k, u s fs ( x, x,, x, s, s,, s ) 2, u n 1, u 2, u k, u Circuito Combinacional s fs ( x,,,,,,, ) k, u 1 z, z,, z x1, x2,, x 1, 2,, n u u m u k 1 x2 xn s1, u s2, u sk, u

29 Modelos de SSS clock Modelo Mealy Z F( X, S ) u u u S Fs( X, S ) u 1 u u s, s,, s 1, u 2, u k, u u Elementos de Memoria Circuito Combinacional z, z,, z x1, x2,, x 1, 2,, n u u m u Modelo Moore Z u F( S ) S Fs( X, S ) u 1 u u u z, z,, z 1, u 2, u m, u CC2 s, s,, s 1, u 2, u k, u u clock Elementos de Memoria x1, x2,, xn CC1

30 Otro modelo de SS Grafcet Z u F( S ) S Fs( X, S ) u 1 u u u Máquina de Estados Permite la descripción gráfica de un control de procesos. GRAfico Funcional de Control de Etapas y Transiciones. Metodología para automatizaciones industriales

31 Ejemplo: Semáforo de intersección de avenida Reloj S1 S2 S3 S4 {X} SSS {S} {Z} R1 R2 R3 R4 V1 V2 V3 V4 {x} = { Habilitado, No Habilitado} -> Alfabeto de entrada {S} = {sem1, sem2, sem3, sem4} -> Conjunto de estados {Z} = { Z1, Z2, Z3, Z4} -> Alfabeto de salida Z1 V1, R1, V 2, R2, V 3, R3, V 4, R4 Z 2 ( V1, R1, V 2, R2, V 3, R3, V 4, R4) Z3 ( V1, R1, V 2, R2, V 3, R3, V 4, R4) Z 4 ( V1, R1, V 2, R2, V 3, R3, V 4, R4)

32 Semáforo de intersección de avenida. Mealy Reloj {X} SSS {S} {Z} S1 S2 S3 S4 R1 R2 R3 R4 V1 V2 V3 V4 Z1 V1, R1, V 2, R2, V 3, R3, V 4, R4 Z 2 ( V1, R1, V 2, R2, V 3, R3, V 4, R4) Z3 ( V1, R1, V 2, R2, V 3, R3, V 4, R4) Z 4 ( V1, R1, V 2, R2, V 3, R3, V 4, R4) Diagrama de estados

33 Semáforo de intersección de avenida. Moore Reloj {X} SSS {S} {Z} S1 S2 S3 S4 R1 R2 R3 R4 V1 V2 V3 V4 Z1 V1, R1, V 2, R2, V 3, R3, V 4, R4 Z 2 ( V1, R1, V 2, R2, V 3, R3, V 4, R4) Z3 ( V1, R1, V 2, R2, V 3, R3, V 4, R4) Z 4 ( V1, R1, V 2, R2, V 3, R3, V 4, R4) Diagrama de estados

34 Semáforo de intersección de avenida. Grafcet Reloj {X} SSS {S} {Z} S1 S2 S3 S4 R1 R2 R3 R4 V1 V2 V3 V4 Z1 V1, R1, V 2, R2, V 3, R3, V 4, R4 Z 2 ( V1, R1, V 2, R2, V 3, R3, V 4, R4) Z3 ( V1, R1, V 2, R2, V 3, R3, V 4, R4) Z 4 ( V1, R1, V 2, R2, V 3, R3, V 4, R4) Diagrama de estados

35 VHDL. Semáforo de intersección de avenida según MOORE.

36 Simulación. Semáforo de intersección de avenida según MOORE.

37 Descripciones de estados en VHDL clk Q[2..0]

38 Simulación Contador MOD 5 clk Q[2..0] VARIABLE Las variables se utilizan para almacenar un valor Tienen validez solo en los bloques PROCESS SIGNAL Las señales se utilizan para conectar diversas partes del diseño Tienen validez en todo el bloque ARCHITECTURE

39 Contador con todas las características de 4 bits Reloj d_ent[3..0] borrar cargar descendente habil_cnt Conta MOD16 q[3..0]

40 Contador con todas las características de 4 bits

41 Contador con todas las características de 4 bits Simulación

ESTRUCTURA Y TECNOLOGÍA A DE LOS COMPUTADORES I. TEMA 5 Introducción n a los Sistemas Digitales

ESTRUCTURA Y TECNOLOGÍA A DE LOS COMPUTADORES I. TEMA 5 Introducción n a los Sistemas Digitales ESTRUCTURA Y TECNOLOGÍA A DE LOS COMPUTADORES I TEMA 5 Introducción n a los Sistemas Digitales TEMA 5. Introducción n a los Sistemas Digitales 5.1 Sistemas Digitales 5.2 Sistemas Combinacionales 5.3 Sistemas

Más detalles

5. Metodologías de diseño de un ASIC

5. Metodologías de diseño de un ASIC 5. Metodologías de diseño de un ASIC 5.1. Introducción 5.2. Gate Arrays 5.3. Standard Cells 5.4. Seas of Gates 5.5. Dispositivos programables FPGAs Dispositivos programables El diseño de circuitos integrados

Más detalles

Tema 16 ELECTRÓNICA DIGITAL LENGUAJES DE DESCRIPCIÓN DE LOS SISTEMAS DIGITALES (PARTE 1)

Tema 16 ELECTRÓNICA DIGITAL LENGUAJES DE DESCRIPCIÓN DE LOS SISTEMAS DIGITALES (PARTE 1) ELECTRÓNICA DIGITAL Tema 16 LENGUAJES DE DESCRIPCIÓN DE LOS SISTEMAS DIGITALES (PARTE 1) IMPORTANCIA DE LOS ESQUEMAS Los circuitos y sistemas electrónicos digitales cuya complejidad es limitada, se pueden

Más detalles

COMUNICACIÓN I2C (INTER-INTEGRATED CIRCUIT)

COMUNICACIÓN I2C (INTER-INTEGRATED CIRCUIT) COMUNICACIÓN I2C (INTER-INTEGRATED CIRCUIT) Centro CFP/ES COMUNICACIÓN I2C 1 VENTAJAS DE LA COMUNICACIÓN I2C COMPARATIVA ESTANDAR DE TRANSMISIÓN 2 DISPOSITIVOS I2C DISPOSITIVOS I2C MAX518 3 DISPOSITIVOS

Más detalles

Reprogramación de módulos de control

Reprogramación de módulos de control Reprogramación de módulos de control Componentes de un computador. 1)Bloque de Entrada: Se denomina bloque de entrada a todos los circuitos que se encuentran como receptores de las diferentes señales que

Más detalles

Diseño Lógico I Facultad de Ciencias Exactas y Tecnología UNT. Introducción. Fabricantes. Elevada complejidad. Facilitar tareas de diseño

Diseño Lógico I Facultad de Ciencias Exactas y Tecnología UNT. Introducción. Fabricantes. Elevada complejidad. Facilitar tareas de diseño Introducción Fabricantes Elevada complejidad Facilitar tareas de diseño Herramientas CAD DESCRIPCIÓN GRÁFICA DEL MODELO DE DISEÑO DE SISTEMAS COMPLEJOS Proceso de diseño Simplificado Antes de realizar

Más detalles

BLOQUE 2. (PARTE 3: Tema 2) CONJUNTO CONFIGURABLE DE PUERTAS FPGA (Field Programmable Gate Array) Tecnología y Proceso de configuración

BLOQUE 2. (PARTE 3: Tema 2) CONJUNTO CONFIGURABLE DE PUERTAS FPGA (Field Programmable Gate Array) Tecnología y Proceso de configuración SISTEMAS ELECTRÓNICOS DIGITALES BLOQUE 2 CIRCUITOS DIGITALES CONFIGURABLES (PARTE 3: Tema 2) CONJUNTO CONFIGURABLE DE PUERTAS Tecnología y Proceso de configuración Enrique Mandado Pérez María José Moure

Más detalles

REGISTROS DE DESPLAZAMIENTO

REGISTROS DE DESPLAZAMIENTO REGISTROS DE DESPLAZAMIENTO Es un circuito digital que acepta datos binarios de una fuente de entrada y luego los desplaza, un bit a la vez, a través de una cadena de flip-flops. Este sistema secuencial

Más detalles

Tipos de sistemas digitales: Sistemas combinacionales: las variables de salida dependen en todo instante de los valores de las variables de entrada.

Tipos de sistemas digitales: Sistemas combinacionales: las variables de salida dependen en todo instante de los valores de las variables de entrada. INTRODUCCIÓN A SISTEMAS DIGITALES Niveles de diseño: Nivel de arquitectura: identifica elementos de mayor nivel (CPU, memoria, periféricos, etc.) Nivel lógico: estructura interna de los componentes definidos

Más detalles

BLOQUE 2. (PARTE 3: Tema 1) CONJUNTO CONFIGURABLE DE PUERTAS FPGA (Field Programmable Gate Array) Clasificación y descripción

BLOQUE 2. (PARTE 3: Tema 1) CONJUNTO CONFIGURABLE DE PUERTAS FPGA (Field Programmable Gate Array) Clasificación y descripción SISTEMAS ELECTRÓNICOS DIGITALES OQUE 2 CIRCUITOS DIGITALES CONFIGURAES (PARTE 3: Tema 1) CONJUNTO CONFIGURAE DE PUERTAS Clasificación y descripción Enrique Mandado Pérez María José Moure Rodríguez Circuito

Más detalles

SINTESIS Y DESCRIPCIÓN DE CIRCUITOS DIGITALES UTILIZANDO VHDL ANTECEDENTES

SINTESIS Y DESCRIPCIÓN DE CIRCUITOS DIGITALES UTILIZANDO VHDL ANTECEDENTES ANTECEDENTES En los últimos diez años la industria electrónica ha tenido una gran evolución en el desarrollo de sistemas digitales; desde computadoras personales, sistemas de audio y vídeo hasta dispositivos

Más detalles

Encuesta sobre utilización de la microelectrónica en la Argentina

Encuesta sobre utilización de la microelectrónica en la Argentina Encuesta sobre utilización de la microelectrónica en la Argentina Los dispositivos microelectrónicos forman el corazón de todo sistema electrónico de nuestros días. En ellos los circuitos alcanzan las

Más detalles

Metodologías de diseño de hardware

Metodologías de diseño de hardware Capítulo 2 Metodologías de diseño de hardware Las metodologías de diseño de hardware denominadas Top-Down, basadas en la utilización de lenguajes de descripción de hardware, han posibilitado la reducción

Más detalles

4. Programación Paralela

4. Programación Paralela 4. Programación Paralela La necesidad que surge para resolver problemas que requieren tiempo elevado de cómputo origina lo que hoy se conoce como computación paralela. Mediante el uso concurrente de varios

Más detalles

UNIDADES FUNCIONALES DEL ORDENADOR TEMA 3

UNIDADES FUNCIONALES DEL ORDENADOR TEMA 3 UNIDADES FUNCIONALES DEL ORDENADOR TEMA 3 INTRODUCCIÓN El elemento hardware de un sistema básico de proceso de datos se puede estructurar en tres partes claramente diferenciadas en cuanto a sus funciones:

Más detalles

Circuitos Electrónicos. Primer parcial curso 2006-07

Circuitos Electrónicos. Primer parcial curso 2006-07 Circuitos Electrónicos. Primer parcial curso 2006-07 Ante el creciente interés por las apuestas deportivas, el Departamento Técnico de las Loterías y Apuestas del Estado os ha encargado la actualización

Más detalles

INTERRUPCIONES. La comunicación asíncrona de los sistemas periféricos con la CPU, en ambos sentidos, se puede establecer de dos maneras fundamentales:

INTERRUPCIONES. La comunicación asíncrona de los sistemas periféricos con la CPU, en ambos sentidos, se puede establecer de dos maneras fundamentales: INTERRUPCIONES La comunicación asíncrona de los sistemas periféricos con la CPU, en ambos sentidos, se puede establecer de dos maneras fundamentales: a)consultas (POLLING): Se comprueban cíclicamente,

Más detalles

TEMA7. SISTEMAS SECUENCIALES

TEMA7. SISTEMAS SECUENCIALES Sistemas Secuenciales 1 TEMA7. SISTEMAS SECUENCIALES Los circuitos lógicos se clasifican en dos tipos: Combinacionales, aquellos cuyas salidas sólo dependen de las entradas actuales. Secuenciales, aquellos

Más detalles

TEMA 3: Control secuencial

TEMA 3: Control secuencial TEMA 3: Control secuencial Esquema: Índice de contenido TEMA 3: Control secuencial...1 1.- Introducción...1 2.- Biestables...3 2.1.- Biestables asíncronos: el Biestable RS...4 2.1.1.- Biestable RS con

Más detalles

Autómatas Programables (PLC)

Autómatas Programables (PLC) Autómatas Programables (PLC) 1 Automatización industrial Utilización de técnicas y equipos para el gobierno de un proceso industrial, de tal forma que ese sistema funcione de forma autónoma, con poca o

Más detalles

USB (Universal Serial Bus)

USB (Universal Serial Bus) USB (Universal Serial Bus) USB es una interfaz para transmisión de datos y distribución de energía que ha sido introducida en el mercado de PC s y periféricos para mejorar las lentas interfaces serie (RS-232)

Más detalles

DISCOS RAID. Se considera que todos los discos físicos tienen la misma capacidad, y de no ser así, en el que sea mayor se desperdicia la diferencia.

DISCOS RAID. Se considera que todos los discos físicos tienen la misma capacidad, y de no ser así, en el que sea mayor se desperdicia la diferencia. DISCOS RAID Raid: redundant array of independent disks, quiere decir conjunto redundante de discos independientes. Es un sistema de almacenamiento de datos que utiliza varias unidades físicas para guardar

Más detalles

Memoria La memoria es la parte del ordenador en la que se guardan o almacenan los programas (las instrucciones y los datos).

Memoria La memoria es la parte del ordenador en la que se guardan o almacenan los programas (las instrucciones y los datos). Memoria La memoria es la parte del ordenador en la que se guardan o almacenan los programas (las instrucciones y los datos). Memoria Típica. Los datos almacenados en memoria tienen que pasar, en un momento

Más detalles

ÍNDICE DISEÑO DE CONTADORES SÍNCRONOS JESÚS PIZARRO PELÁEZ

ÍNDICE DISEÑO DE CONTADORES SÍNCRONOS JESÚS PIZARRO PELÁEZ ELECTRÓNICA DIGITAL DISEÑO DE CONTADORES SÍNCRONOS JESÚS PIZARRO PELÁEZ IES TRINIDAD ARROYO DPTO. DE ELECTRÓNICA ÍNDICE ÍNDICE... 1 1. LIMITACIONES DE LOS CONTADORES ASÍNCRONOS... 2 2. CONTADORES SÍNCRONOS...

Más detalles

Memorias no volátiles

Memorias no volátiles Memorias no volátiles Todo circuito secuencial dispone de una memoria de algún tipo, ya que cada biestable, registro o contador, permite almacenar un determinado número de bits de información. Sin embargo,

Más detalles

Tipos de instalaciones

Tipos de instalaciones Tipos de instalaciones Existen este infinidad de configuraciones, pero como técnicos debemos referirnos a las normalizadas por la NTE, la cual diferencia cinco tipos basados en número de circuitos y programas,

Más detalles

Una computadora de cualquier forma que se vea tiene dos tipos de componentes: El Hardware y el Software.

Una computadora de cualquier forma que se vea tiene dos tipos de componentes: El Hardware y el Software. ARQUITECTURA DE LAS COMPUTADORAS QUE ES UNA COMPUTADORA (UN ORDENADOR)? Existen numerosas definiciones de una computadora, entre ellas las siguientes: 1) Una computadora es un dispositivo capaz de realizar

Más detalles

Técnicas de Programación Hardware: CAD para FPGAs y CPLDs

Técnicas de Programación Hardware: CAD para FPGAs y CPLDs Técnicas de Programación Hardware: CAD para FPGAs y CPLDs Clase 4: FPGAs Por: Nelson Acosta & Daniel Simonelli UNICEN - Tandil - 1999 1 Implementación de Sistemas Procesador convencional. Economico, conjunto

Más detalles

Introducción a las redes de computadores

Introducción a las redes de computadores Introducción a las redes de computadores Contenido Descripción general 1 Beneficios de las redes 2 Papel de los equipos en una red 3 Tipos de redes 5 Sistemas operativos de red 7 Introducción a las redes

Más detalles

Figura 1: Símbolo lógico de un flip-flop SR

Figura 1: Símbolo lógico de un flip-flop SR FLIP-FLOPS Los circuitos lógicos se clasifican en dos categorías. Los grupos de puertas descritos hasta ahora, y los que se denominan circuitos lógicos secuenciales. Los bloques básicos para construir

Más detalles

Dispositivos Lógicos Programables (FPGAs) Guillermo Güichal Emtech www.emtech.com.ar

Dispositivos Lógicos Programables (FPGAs) Guillermo Güichal Emtech www.emtech.com.ar Dispositivos Lógicos Programables (FPGAs) Guillermo Güichal Emtech www.emtech.com.ar Temario Introducción Circuitos Digitales FPGAs Flujo y Herramientas de Diseño Diseño para Síntesis Simulación Ejemplos

Más detalles

Estructura y Tecnología de Computadores (ITIG) Luis Rincón Córcoles Ángel Serrano Sánchez de León

Estructura y Tecnología de Computadores (ITIG) Luis Rincón Córcoles Ángel Serrano Sánchez de León Estructura y Tecnología de Computadores (ITIG) Luis Rincón Córcoles Ángel Serrano Sánchez de León Programa. Introducción. 2. Elementos de almacenamiento. 3. Elementos de proceso. 4. Elementos de interconexión.

Más detalles

Tema 11: Sistemas combinacionales

Tema 11: Sistemas combinacionales Tema 11: Sistemas combinacionales Objetivo: Introducción Generador Comprobador de paridad Comparadores Semisumador (HA) Sumador Completo (FA) Expansión de sumadores Sumador paralelo con arrastre serie

Más detalles

Fig 4-7 Curva característica de un inversor real

Fig 4-7 Curva característica de un inversor real Clase 15: Criterios de Comparación de Familias Lógicas. Características del Inversor Real Cuando comenzamos a trabajar con un inversor real comienzan a aparecer algunos inconvenientes que no teníamos en

Más detalles

3.1 Introducción a VHDL

3.1 Introducción a VHDL Capítulo 3 Implementación en VHDL A continuación se va a explicar brevemente el funcionamiento de VHDL y las componentes de programación para poder entender mejor el programa. Una vez explicado esto, se

Más detalles

AUTOMATIZACION. Reconocer la arquitectura y características de un PLC Diferenciar los tipos de entradas y salidas

AUTOMATIZACION. Reconocer la arquitectura y características de un PLC Diferenciar los tipos de entradas y salidas AUTOMATIZACION GUIA DE TRABAJO 2 DOCENTE: VICTOR HUGO BERNAL UNIDAD No. 3 OBJETIVO GENERAL Realizar una introducción a los controladores lógicos programables OBJETIVOS ESPECIFICOS: Reconocer la arquitectura

Más detalles

TEMA 4. Unidades Funcionales del Computador

TEMA 4. Unidades Funcionales del Computador TEMA 4 Unidades Funcionales del Computador Álvarez, S., Bravo, S., Departamento de Informática y automática Universidad de Salamanca Introducción El elemento físico, electrónico o hardware de un sistema

Más detalles

Gestión de la Configuración

Gestión de la Configuración Gestión de la ÍNDICE DESCRIPCIÓN Y OBJETIVOS... 1 ESTUDIO DE VIABILIDAD DEL SISTEMA... 2 ACTIVIDAD EVS-GC 1: DEFINICIÓN DE LOS REQUISITOS DE GESTIÓN DE CONFIGURACIÓN... 2 Tarea EVS-GC 1.1: Definición de

Más detalles

Circuitos Electrónicos. Septiembre 2005/2006. Problema 1º parcial

Circuitos Electrónicos. Septiembre 2005/2006. Problema 1º parcial Circuitos Electrónicos. Septiembre 2005/2006. Problema 1º parcial Se pretende realizar el circuito lógico interno de una máquina tragaperras de tres ruletas. El sistema completo tiene un esquema como el

Más detalles

Universidad Autónoma de Baja California Facultad de Ingeniería Mexicali

Universidad Autónoma de Baja California Facultad de Ingeniería Mexicali Sumadores En este documento se describe el funcionamiento del circuito integrado 7483, el cual implementa un sumador binario de 4 bits. Adicionalmente, se muestra la manera de conectarlo con otros dispositivos

Más detalles

Tema 7. SISTEMAS SECUENCIALES SISTEMAS SECUENCIALES SÍNCRONOS

Tema 7. SISTEMAS SECUENCIALES SISTEMAS SECUENCIALES SÍNCRONOS Fundamentos de Computadores. Sistemas Secuenciales. T7-1 INDICE: Tema 7. SISTEMAS SECUENCIALES INTRODUCCIÓN SISTEMAS SECUENCIALES SÍNCRONOS TIPOS DE BIESTABLES o TABLAS DE ECITACIÓN DE LOS BIESTABLES o

Más detalles

Interoperabilidad de Fieldbus

Interoperabilidad de Fieldbus 2002 Emerson Process Management. Todos los derechos reservados. Vea este y otros cursos en línea en www.plantwebuniversity.com. Fieldbus 201 Interoperabilidad de Fieldbus Generalidades Qué es interoperabilidad?

Más detalles

Gestión de Oportunidades

Gestión de Oportunidades Gestión de Oportunidades Bizagi Suite Gestión de Oportunidades 1 Tabla de Contenido CRM Gestión de Oportunidades de Negocio... 4 Elementos del Proceso... 5 Registrar Oportunidad... 5 Habilitar Alarma y

Más detalles

INTRODUCCION A LA PROGRAMACION DE PLC

INTRODUCCION A LA PROGRAMACION DE PLC INTRODUCCION A LA PROGRAMACION DE PLC Esta guía se utilizará para estudiar la estructura general de programación de um PLC Instrucciones y Programas Una instrucción u orden de trabajo consta de dos partes

Más detalles

TEMA - 3 LÓGICA SECUENCIAL. REGISTROS DE DESPLAZAMIENTO Y CONTADORES. 1.- Introducción.

TEMA - 3 LÓGICA SECUENCIAL. REGISTROS DE DESPLAZAMIENTO Y CONTADORES. 1.- Introducción. T-3 Lógica ecuencial. egistros de Desplazamiento y Contadores TEMA - 3 LÓGICA ECUENCIAL. EGITO DE DEPLAZAMIENTO Y CONTADOE..- Introducción. Hemos visto que en la lógica combinacional las salidas están

Más detalles

Sistemas de Computadoras Índice

Sistemas de Computadoras Índice Sistemas de Computadoras Índice Concepto de Computadora Estructura de la Computadora Funcionamiento de la Computadora Historia de las Computadoras Montando una Computadora Computadora Un sistema de cómputo

Más detalles

SCT3000 95. Software para la calibración de transductores de fuerza. Versión 3.5. Microtest S.A. microtes@arrakis.es

SCT3000 95. Software para la calibración de transductores de fuerza. Versión 3.5. Microtest S.A. microtes@arrakis.es SCT3000 95 Versión 3.5 Software para la calibración de transductores de fuerza. Microtest S.A. microtes@arrakis.es Introducción El programa SCT3000 95, es un sistema diseñado para la calibración automática

Más detalles

MEMORIAS DE SEMICONDUCTORES

MEMORIAS DE SEMICONDUCTORES MEMORIAS DE SEMICONDUCTORES Se ha visto anteriormente que un registro (latch o flip-flop) puede almacenar un bit. Para almacenar una gran cantidad de bits, se recurre al uso de memorias. Una memoria, en

Más detalles

A continuación se representan los componentes básicos de un sistema computacional (ordenador). Figura 5.6.1 Componentes básicos de un ordenador.

A continuación se representan los componentes básicos de un sistema computacional (ordenador). Figura 5.6.1 Componentes básicos de un ordenador. 5.6 ESTRUCTURA BÁSICA DE UN ORDENADOR. TERMINOLOGÍA INFORMÁTICA Las aeronaves modernas utilizan sofisticados sistemas de aviónica que necesitan de sistemas de computación basados en microprocesadores.

Más detalles

La Computadora. Operaciones de entrada al ser receptora de información. Operaciones de cálculo, lógica y almacenamiento.

La Computadora. Operaciones de entrada al ser receptora de información. Operaciones de cálculo, lógica y almacenamiento. La Computadora Para entender mejor el concepto de Computadora tenemos que saber qué es la computación. La computación como tal, se entinte como el arte de contar o calcular, tal como lo afirma el Diccionario

Más detalles

Breve Curso de VHDL. M. C. Felipe Santiago Espinosa. Profesor Investigador UTM

Breve Curso de VHDL. M. C. Felipe Santiago Espinosa. Profesor Investigador UTM Breve Curso de VHDL M. C. Felipe Santiago Espinosa Profesor Investigador UTM Noviembre de 2007 1 Orden del curso 1. Introducción al diseño con VHDL. 2. Unidades de diseño en VHDL. 3. Señales. 4. Especificación

Más detalles

Conmutación. Conmutación telefónica. Justificación y definición.

Conmutación. Conmutación telefónica. Justificación y definición. telefónica Justificación y definición de circuitos de mensajes de paquetes Comparación de las técnicas de conmutación Justificación y definición. Si se atiende a las arquitecturas y técnicas utilizadas

Más detalles

CAPÍTULO COMPONENTES EL DIODO SEMICONDUCTORES: 1.1 INTRODUCCIÓN

CAPÍTULO COMPONENTES EL DIODO SEMICONDUCTORES: 1.1 INTRODUCCIÓN CAPÍTULO 1 COMPONENTES SEMICONDUCTORES: EL DIODO 1.1 INTRODUCCIÓN E n el capítulo 5 del tomo III se presentó una visión general de los componentes semiconductores básicos más frecuentes en electrónica,

Más detalles

No se requiere que los discos sean del mismo tamaño ya que el objetivo es solamente adjuntar discos.

No se requiere que los discos sean del mismo tamaño ya que el objetivo es solamente adjuntar discos. RAIDS MODO LINEAL Es un tipo de raid que muestra lógicamente un disco pero se compone de 2 o más discos. Solamente llena el disco 0 y cuando este está lleno sigue con el disco 1 y así sucesivamente. Este

Más detalles

Servicio de Email Marketing

Servicio de Email Marketing Servicio de Email Marketing Cuando hablamos de Email marketing, es un envío Masivo de correos con permisos realizado por herramientas tecnológicas de correo electrónico, mediante el cual su anuncio estará

Más detalles

Elementos requeridos para crearlos (ejemplo: el compilador)

Elementos requeridos para crearlos (ejemplo: el compilador) Generalidades A lo largo del ciclo de vida del proceso de software, los productos de software evolucionan. Desde la concepción del producto y la captura de requisitos inicial hasta la puesta en producción

Más detalles

PROGRAMACIÓN ORIENTADA A OBJETOS Master de Computación. II MODELOS y HERRAMIENTAS UML. II.2 UML: Modelado de casos de uso

PROGRAMACIÓN ORIENTADA A OBJETOS Master de Computación. II MODELOS y HERRAMIENTAS UML. II.2 UML: Modelado de casos de uso PROGRAMACIÓN ORIENTADA A OBJETOS Master de Computación II MODELOS y HERRAMIENTAS UML 1 1 Modelado de casos de uso (I) Un caso de uso es una técnica de modelado usada para describir lo que debería hacer

Más detalles

E-learning: E-learning:

E-learning: E-learning: E-learning: E-learning: capacitar capacitar a a su su equipo equipo con con menos menos tiempo tiempo y y 1 E-learning: capacitar a su equipo con menos tiempo y Si bien, no todas las empresas cuentan con

Más detalles

Un contador es un circuito secuencial que genera una secuencia ordenada de salidas que se repite en el tiempo. La salida coincide con el estado de

Un contador es un circuito secuencial que genera una secuencia ordenada de salidas que se repite en el tiempo. La salida coincide con el estado de CONTADORES Un contador es un circuito secuencial que genera una secuencia ordenada de salidas que se repite en el tiempo. La salida coincide con el estado de sus biestables. Los contadores son circuitos

Más detalles

e-commerce, es hacer comercio utilizando la red. Es el acto de comprar y vender en y por medio de la red.

e-commerce, es hacer comercio utilizando la red. Es el acto de comprar y vender en y por medio de la red. Comercio electrónico. (e-commerce) Las empresas que ya están utilizando la red para hacer comercio ven como están cambiando las relaciones de la empresa con sus clientes, sus empleados, sus colaboradores

Más detalles

Los mayores cambios se dieron en las décadas de los setenta, atribuidos principalmente a dos causas:

Los mayores cambios se dieron en las décadas de los setenta, atribuidos principalmente a dos causas: SISTEMAS DISTRIBUIDOS DE REDES 1. SISTEMAS DISTRIBUIDOS Introducción y generalidades La computación desde sus inicios ha sufrido muchos cambios, desde los grandes equipos que permitían realizar tareas

Más detalles

RESUMEN INFORMATIVO PROGRAMACIÓN DIDÁCTICA CURSO 2013/2014

RESUMEN INFORMATIVO PROGRAMACIÓN DIDÁCTICA CURSO 2013/2014 RESUMEN INFORMATIVO PROGRAMACIÓN DIDÁCTICA CURSO 2013/2014 FAMILIA PROFESIONAL: INFORMATICA Y COMUNICACIONES MATERIA: 28. DESARROLLO WEB EN ENTORNO SERVIDOR CURSO: 2º DE CFGS DESARROLLO DE APLICACIONES

Más detalles

TOPOLOGÍA. Bus lineal. Topología anillo. Topología doble anillo. Topología estrella. Jerarquía. Malla. Hibridas.

TOPOLOGÍA. Bus lineal. Topología anillo. Topología doble anillo. Topología estrella. Jerarquía. Malla. Hibridas. TOPOLOGÍA Una topología es la estructura física de una interconexión a la red entre dos o más nodos de información. Para lograr la una buena clasificación de las topologías es necesario dividirlas en simples

Más detalles

Capítulo 5. Cliente-Servidor.

Capítulo 5. Cliente-Servidor. Capítulo 5. Cliente-Servidor. 5.1 Introducción En este capítulo hablaremos acerca de la arquitectura Cliente-Servidor, ya que para nuestra aplicación utilizamos ésta arquitectura al convertir en un servidor

Más detalles

INTRODUCCION. Ing. Camilo Zapata czapata@udea.edu.co Universidad de Antioquia

INTRODUCCION. Ing. Camilo Zapata czapata@udea.edu.co Universidad de Antioquia INTRODUCCION. Ing. Camilo Zapata czapata@udea.edu.co Universidad de Antioquia Qué es una Red? Es un grupo de computadores conectados mediante cables o algún otro medio. Para que? compartir recursos. software

Más detalles

HISTORIA Y EVOLUCIÓN DE LOS SISTEMAS OPERATIVOS

HISTORIA Y EVOLUCIÓN DE LOS SISTEMAS OPERATIVOS HISTORIA Y EVOLUCIÓN DE LOS SISTEMAS OPERATIVOS Las primeras computadoras eran enormes máquinas que se ejecutaban desde una consola. El programador, quien además operaba el sistema de computación, debía

Más detalles

ANEXO - D LOGICA BINARIA Aplicada a diagramas en escalera y de bloques para la programación de un mini PLC

ANEXO - D LOGICA BINARIA Aplicada a diagramas en escalera y de bloques para la programación de un mini PLC ANEXO - D LOGICA BINARIA Aplicada a diagramas en escalera y de bloques para la programación de un mini PLC La lógica binaria fue desarrollada a principios del siglo XIX por el matemático George Boole para

Más detalles

MODULO Nº12 TRANSISTORES MOSFET

MODULO Nº12 TRANSISTORES MOSFET MODULO Nº12 TRANSISTORES MOSFET UNIDAD: CONVERTIDORES CC - CC TEMAS: Transistores MOSFET. Parámetros del Transistor MOSFET. Conmutación de Transistores MOSFET. OBJETIVOS: Comprender el funcionamiento del

Más detalles

GUIAS ÚNICAS DE LABORATORIO CIRCUITOS CONTADORES AUTOR: ALBERTO CUERVO SANTIAGO DE CALI UNIVERSIDAD SANTIAGO DE CALI DEPARTAMENTO DE LABORATORIOS

GUIAS ÚNICAS DE LABORATORIO CIRCUITOS CONTADORES AUTOR: ALBERTO CUERVO SANTIAGO DE CALI UNIVERSIDAD SANTIAGO DE CALI DEPARTAMENTO DE LABORATORIOS GUIS ÚNIS DE LORTORIO IRUITOS ONTDORES UTOR: LERTO UERVO SNTIGO DE LI UNIVERSIDD SNTIGO DE LI DEPRTMENTO DE LORTORIOS IRUITOS ONTDORES Introducción El contador es un circuito específico de circuito secuencial,

Más detalles

3. FUNCIONAMIENTO DE LA FUNCIONES TXD Y RXD 4. EJEMPLO DE ENVÍO DE SMS DESDE EL PLC 5. EJEMPLO DE RECEPCIÓN DE SMS EN EL PLC

3. FUNCIONAMIENTO DE LA FUNCIONES TXD Y RXD 4. EJEMPLO DE ENVÍO DE SMS DESDE EL PLC 5. EJEMPLO DE RECEPCIÓN DE SMS EN EL PLC MÓDEM-GSM INDICE 1. INTRODUCCIÓN Centro Integrado Politécnico ETI Departamento de Electricidad 2. CONFIGURACIÓN PUERTO SERIE CPU 3. FUNCIONAMIENTO DE LA FUNCIONES TXD Y RXD 4. EJEMPLO DE ENVÍO DE SMS DESDE

Más detalles

Guía de uso del Cloud Datacenter de acens

Guía de uso del Cloud Datacenter de acens guíasdeuso Guía de uso del Cloud Datacenter de Calle San Rafael, 14 28108 Alcobendas (Madrid) 902 90 10 20 www..com Introducción Un Data Center o centro de datos físico es un espacio utilizado para alojar

Más detalles

Diseño o Estructurado GRAFCET

Diseño o Estructurado GRAFCET Diseño o Estructurado GRAFCET 1 Introducción Modos de Marcha Marchas automáticas ÍNDICE Funcionamiento automático Funcionamiento semiautomático Marchas de intervención Marchas de ajuste del sistema Seguridad

Más detalles

18. Camino de datos y unidad de control

18. Camino de datos y unidad de control Oliverio J. Santana Jaria Sistemas Digitales Ingeniería Técnica en Informática de Sistemas Curso 2006 2007 18. Camino de datos y unidad de control Un La versatilidad una característica deseable los Los

Más detalles

Naturaleza binaria. Conversión decimal a binario

Naturaleza binaria. Conversión decimal a binario Naturaleza binaria En los circuitos digitales sólo hay 2 voltajes. Esto significa que al utilizar 2 estados lógicos se puede asociar cada uno con un nivel de tensión, así se puede codificar cualquier número,

Más detalles

WINDOWS 2008 5: TERMINAL SERVER

WINDOWS 2008 5: TERMINAL SERVER WINDOWS 2008 5: TERMINAL SERVER 1.- INTRODUCCION: Terminal Server proporciona una interfaz de usuario gráfica de Windows a equipos remotos a través de conexiones en una red local o a través de Internet.

Más detalles

AVISADOR DE ALARMA AG1 GSM CON GPS

AVISADOR DE ALARMA AG1 GSM CON GPS AVISADOR DE ALARMA AG1 GSM CON GPS Descripción general: El AG1 es una aplicación embebida para el Modem GSM/GPS m-trac 25 que incorpora un módulo GSM/GPS Wavecom Q2501B. Esta realiza las funciones de avisador

Más detalles

EL MODELO DE ESTRATIFICACIÓN POR CAPAS DE TCP/IP DE INTERNET

EL MODELO DE ESTRATIFICACIÓN POR CAPAS DE TCP/IP DE INTERNET 1 EL MODELO DE ESTRATIFICACIÓN POR CAPAS DE TCP/IP DE INTERNET La familia de protocolos TCP/IP fue diseñada para permitir la interconexión entre distintas redes. El mejor ejemplo es Internet: se trata

Más detalles

Instalación de Sistemas de Automatización y Datos

Instalación de Sistemas de Automatización y Datos UNIVERSIDADE DE VIGO E. T. S. Ingenieros Industriales 5º Curso Orientación Instalaciones y Construcción Instalación de Sistemas de Automatización y Datos José Ignacio Armesto Quiroga http://www www.disa.uvigo.es/

Más detalles

Curso Completo de Electrónica Digital

Curso Completo de Electrónica Digital CURSO Curso Completo de Electrónica Digital Departamento de Electronica y Comunicaciones Universidad Pontifica de Salamanca en Madrid Prof. Juan González Gómez Capítulo 4 CIRCUITOS COMBINACIONALES 4.1.

Más detalles

CIRCUITOS SECUENCIALES

CIRCUITOS SECUENCIALES LABORATORIO # 7 Realización: 16-06-2011 CIRCUITOS SECUENCIALES 1. OBJETIVOS Diseñar e implementar circuitos utilizando circuitos multivibradores. Comprender los circuitos el funcionamiento de los circuitos

Más detalles

SEGURIDAD Y PROTECCION DE FICHEROS

SEGURIDAD Y PROTECCION DE FICHEROS SEGURIDAD Y PROTECCION DE FICHEROS INTEGRIDAD DEL SISTEMA DE ARCHIVOS ATAQUES AL SISTEMA PRINCIPIOS DE DISEÑO DE SISTEMAS SEGUROS IDENTIFICACIÓN DE USUARIOS MECANISMOS DE PROTECCIÓN Y CONTROL INTEGRIDAD

Más detalles

Diseño de un sistema de adquisición de datos de un micrófono utilizando una FPGA

Diseño de un sistema de adquisición de datos de un micrófono utilizando una FPGA Diseño de un sistema de adquisición de datos de un micrófono utilizando una FPGA Experimental III: Introducción a la Microfabricación y FPGA - Instituto Balseiro Mauricio Tosi Diciembre de 2013 Resumen

Más detalles

Sistema de marketing de proximidad

Sistema de marketing de proximidad Dizan Vasquez Propuesta de proyecto Sistema de marketing de proximidad ACME México Dizan Vasquez Índice general 1. Descripción 3 2. Resúmen ejecutivo 4 2.1. Objetivo.................................................

Más detalles

Laboratorio de Diseño de Sistemas Digitales

Laboratorio de Diseño de Sistemas Digitales Proceso de Diseño Laboratorio de Diseño de Sistemas Digitales I Semestre 2008 Ing. Gabriela Ortiz L. Diseño Implementación Depuración Diseño: Concepto inicial. Cuál es la función que lleva a cabo el objeto?

Más detalles

TEMPORIZADORES, CONTADORES Y COMPARADORES

TEMPORIZADORES, CONTADORES Y COMPARADORES Practica 2 TEMPORIZADORES, CONTADORES Y COMPARADORES Objetivos Al completar esta práctica el alumno: Será capaz de configurar y utilizar el PLC, realizar en el software del PLC control aplicando ladder

Más detalles

Organización de Computadoras. Turno Recursantes Clase 8

Organización de Computadoras. Turno Recursantes Clase 8 Organización de Computadoras Turno Recursantes Clase 8 Temas de Clase Subsistema de Memoria Organización de Memoria Principal Notas de clase 8 2 Memoria Velocidad del procesador: se duplica cada 18 meses

Más detalles

CONTROL DIGITAL PARA CONVERTIDOR MULTINIVEL ALIMENTADO CON ENERGÍA SOLAR. Anexo A: FPGA. Introducción

CONTROL DIGITAL PARA CONVERTIDOR MULTINIVEL ALIMENTADO CON ENERGÍA SOLAR. Anexo A: FPGA. Introducción Anexo A: FPGA Introducción Cuando se requiere del diseño de un sistema electrónico y surge la necesidad de implementar una parte con hardware dedicado son varias las posibilidades que hay. Una es un diseño

Más detalles

CAPÍTULO 2 Sistemas De Base De Datos Multiusuarios

CAPÍTULO 2 Sistemas De Base De Datos Multiusuarios CAPÍTULO 2 Sistemas De De Multiusuarios Un sistema multiusuario es un sistema informático que da servicio, manera concurrente, a diferentes usuarios mediante la utilización compartida sus recursos. Con

Más detalles

Arquitectura de sistema de alta disponibilidad

Arquitectura de sistema de alta disponibilidad Mysql Introducción MySQL Cluster esta diseñado para tener una arquitectura distribuida de nodos sin punto único de fallo. MySQL Cluster consiste en 3 tipos de nodos: 1. Nodos de almacenamiento, son los

Más detalles

Ingeniería de Software. Pruebas

Ingeniería de Software. Pruebas Ingeniería de Software Pruebas Niveles de prueba Pruebas unitarias Niveles Pruebas de integración Pruebas de sistema Pruebas de aceptación Alpha Beta Niveles de pruebas Pruebas unitarias Se enfocan en

Más detalles

Anexo B. Comunicaciones entre mc y PC

Anexo B. Comunicaciones entre mc y PC Anexo B Comunicaciones entre mc y PC En este apartado se hará hincapié en los comandos para el manejo del módulo de comunicaciones desde el PC. Conociendo estos comando se podrá realizar una aplicación

Más detalles

Capítulo 9. Archivos de sintaxis

Capítulo 9. Archivos de sintaxis Capítulo 9 Archivos de sintaxis El SPSS permite generar y editar archivos de texto con sintaxis SPSS, es decir, archivos de texto con instrucciones de programación en un lenguaje propio del SPSS. Esta

Más detalles

PRUEBAS DE SOFTWARE TECNICAS DE PRUEBA DE SOFTWARE

PRUEBAS DE SOFTWARE TECNICAS DE PRUEBA DE SOFTWARE PRUEBAS DE SOFTWARE La prueba del software es un elemento crítico para la garantía de la calidad del software. El objetivo de la etapa de pruebas es garantizar la calidad del producto desarrollado. Además,

Más detalles

La Unidad Procesadora.

La Unidad Procesadora. La Unidad Procesadora. En un sistema digital complejo, la capa de hardware de la máquina es el nivel más bajo del modelo de capas de un sistema microcomputarizado. La unidad procesadora es una parte del

Más detalles

Actividad 4: Comunicación entre PLC s vía Ethernet

Actividad 4: Comunicación entre PLC s vía Ethernet Actividad 4: Comunicación entre PLC s vía Ethernet 1.- Listado de materiales: PC con Tarjeta de red 3com o similar. 2 PLC Omrom CJ1M CPU11 ETN Estos autómatas llevan integrada la tarjeta de comunicaciones

Más detalles

Tema 1. Conceptos fundamentales de los Sistemas Operativos

Tema 1. Conceptos fundamentales de los Sistemas Operativos Tema 1. Conceptos fundamentales de los Sistemas Operativos 1. Introducción a los Sistemas Operativos. 1. Concepto de Sistema Operativo. Niveles del software. 2. Funciones principales de un Sistema Operativo.

Más detalles

ÍNDICE SISTEMAS OPERATIVOS... 5

ÍNDICE SISTEMAS OPERATIVOS... 5 INTRODUCCIÓN HABILIDADES INFORMÁTICAS BÁSICAS PARA ADULTOS SKILLS es un completo curso destinado a alumnos adultos, en particular, a empleados de mayor edad, de forma que puedan adquirir/mejorar sus conocimientos

Más detalles

51 Int. CI.: H04W 4/12 (2009.01) TRADUCCIÓN DE PATENTE EUROPEA

51 Int. CI.: H04W 4/12 (2009.01) TRADUCCIÓN DE PATENTE EUROPEA 19 OFICINA ESPAÑOLA DE PATENTES Y MARCAS ESPAÑA 11 Número de publicación: 2 466 64 1 Int. CI.: H04W 4/18 (09.01) H04W 4/12 (09.01) 12 TRADUCCIÓN DE PATENTE EUROPEA T3 96 Fecha de presentación y número

Más detalles

Puertas Lógicas. Contenidos. Objetivos

Puertas Lógicas. Contenidos. Objetivos Contenidos Objetivos En esta quincena aprenderás a: Implementar funciones mediante puertas lógicas. Conocer y manejar la simbología de las puertas lógicas. Construir circuitos lógicos en el programa simulador

Más detalles

SIIGO PYME PLUS. Proceso de Recuperación. Cartilla I

SIIGO PYME PLUS. Proceso de Recuperación. Cartilla I SIIGO PYME PLUS Proceso de Recuperación Cartilla I Tabla de Contenido 1. Presentación 2. Qué es el Proceso de Recuperación? 3. Cuál es el Objetivo del Proceso de Recuperación? 4. Cuáles son los Pasos que

Más detalles