INSTITUTO POLITÉCNICO NACIONAL ESCUELA SUPERIOR DE INGENIERIA MECANICA Y ELECTRICA INGENIERIA EN COMUNICACIONES Y ELECTRÓNICA ACADEMIA DE COMPUTACIÓN

Tamaño: px
Comenzar la demostración a partir de la página:

Download "INSTITUTO POLITÉCNICO NACIONAL ESCUELA SUPERIOR DE INGENIERIA MECANICA Y ELECTRICA INGENIERIA EN COMUNICACIONES Y ELECTRÓNICA ACADEMIA DE COMPUTACIÓN"

Transcripción

1 . INSTITUTO POLITÉCNICO NACIONAL ESCUELA SUPERIOR DE INGENIERIA MECANICA Y ELECTRICA UNIDAD CULHUACAN INGENIERIA EN COMUNICACIONES Y ELECTRÓNICA ACADEMIA DE COMPUTACIÓN LABORATORIO DE CIRCUITOS DIGITALES PRACTICA NO. 5 CODIFICADORES Y DECODIFICADORES DOCENTE: AGOSTO 2011

2 2 Practica No. 5 Codificadores y Decodificadores Objetivo: Conocer y aplicar los codificadores y decodificadores para el manejo de información.

3 3 Material 1 Experimentador. Fuente regulada de 5V CD. Circuitos Integrados: 74XX00 o CD 40YY ( Equivalente en Tecnología CMOS) 74XX32 74XX86 74XX138 74XX148 74XX75 XX = LS, HC, o F. 74 = Tecnología TTL CD40 = Tecnología CMOS Microinterruptores Visualizador de siete segmentos tipo cátodo o ánodo común (display) Resistencias de 330Ω Led s º Hojas de datos técnicos de los circuitos integrados Se puede consultar: ó.net/ : )

4 4 1. Introducción teórica. CODIFICADORES Un codificador se puede definir como un circuito combinatorio que tiene 2 n (o menos) líneas de entrada y n líneas de salida. Las líneas de salida generan el código binario correspondiente al valor de entrada. Un ejemplo el codificador de octal en binario, cuya tabla de verdad está representada en la tabla 1. Este tiene ocho entradas, una para cada uno de los dígitos octales, y tres salidas que generan el número binario correspondiente. Se supone que sólo una entrada tiene un valor de 1 en cualquier momento dado, en caso contrario el circuito no tiene significado. Entradas Salidas D 7 D 6 D 5 D 4 D 3 D 2 D 1 D 0 A 2 A 1 A Tabla 1. Tabla de verdad del codificador de octal a binario. Para diseñar un codificador en base a la tabla 1, se ve que las salidas son función de las entradas Ds, por ejemplo, la salida Ao es verdadera siempre y cuando se activen los dígitos octales D1,D 3,D 5 o D 7; aplicando condiciones semejantes a las otras dos salidas, se obtiene las siguientes funciones booleanas de salida: A 0 = D 1 + D 3 +D 5 +D 7 A 1 = D 2 + D 3 +D 6 +D 7 A 2 = D 4 + D 5 +D 6 +D 7 El codificador se puede implementar mediante tres compuertas OR; Este codificador tiene la limitación de que sólo una entrada puede estar activa en un momento

5 5 dado, si dos entradas están activas en forma simultánea, la salida produce una combinación indefinida; Otra ambigüedad en dicho codificador es que se genera una salida de puros ceros (0) cuando todas las entradas son 0, es la misma salida cuando se activa a 1 la entrada Do. Esta discrepancia se puede solucionar generando una salida más, con el objeto de indicar cundo alguna de las entradas es activada (igual a 1). Aplicaciones del Codificador Circuito del Codificador de Octal a Binario La aplicación más vistosa de un codificador, es el teclado BCD (números de 0 a 9), que es aplicado en los teclados de los teléfonos. En la figura 2 se muestra el bloque de un codificador de Decimal a BCD, se ve que tiene cuatro salidas de datos (A, B, C y D) y una quinta salida E que se activa a nivel bajo e indica el momento en que alguna de las teclas es oprimida. Figura 2. Codificador de Decimal a BCD

6 6 El circuito codificador de decimal a BCD, pude ser diseñado como el codificador de octal a binario de la figura 1; sin embargo el bloque de la figura 2 puede ser implementado mediante un circuito integrado 74LS147 y compuertas. El circuito integrado 74LS147 es un codificador de prioridad de decimal a BCD, en particular las entradas In se activan a nivel bajo y la salida Yn también la proporciona en forma complementada, como se muestra en la figura 3. Figura 3. Codificador de Prioridad de Decimal a BCD Para cumplir con el bloque funcional de la figura 2, al codificador de prioridad de decimal a BCD (CI 74XX147) le falta generar la señal E, la cual se activa a nivel bajo cuando alguna de las teclas se oprimida.

7 7 Por otra parte el codificador de prioridad de decimal a BCD solo genera los números de 1 al 9 (ver tabla de figura 3), por ello es necesario implementar la entrada para el numero 0 (I0); el circuito lógico que soluciona la situación planteada es una arreglo de compuertas AND, que toma como entradas I0, A, B, C y D complementadas y como salida la función E, como se muestra en la Figura 4. DECOD IFICADOR. Figura 4. Circuito del Codificador de Decimal a BCD Un decodificador es un circuito lógico combinatorio que mediante un código binario de entrada de N bits genera 2 N líneas de salida, que se activa una a la vez dependiendo de la combinación de entrada, la configuración en general de un Decodificado se muestra en la figura 5. La tabla de verdad del decodificador se muestra en la misma figura observando que las salidas se activan a nivel alto y una sola una la vez; para diseñar este tipo de circuito lógico se referencia a la tabla correspondiendo, considerándola como una tabla de tres variables de entrada y ocho salidas tomadas una en particular. Por ello las ecuaciones para las salidas son: DO = A.B.C D1 = A.B.C D2 = A.B.C D3 = A.B.C D4 = A.B.C D5 = A.B.C D6 = A.B.C D7 = A.B.C

8 8 Figura 5. Decodificador de 3x8 Líneas. Para implementar el circuito digital se usarán compuertas AND de tres entradas, el circuito resultante sería un conjunto de ocho compuertas el cual no se implementa en la práctica por su sencillez. APLICACIONES DEL DECODIFICADOR Generación de Funciones Lógicas Como se pude ver cada una de las salidas Ds representa uno de los términos mínimos de las tres variables entrada, por ello los decodificadores se pueden usar para implementar cualquier función lógica. Por ejemplo, implementar la función K de la siguiente tabla de verdad mediante un decodificador y compuertas.

9 9 Decimal X Y Z K Minter ó Suma de Productos K(X,Y,Z) = 3(1,4,6,7) Decodificador de BCD a Siete Segmentos. Para visualizar caracteres decimales (de 0 a 9) y algunas letras, en circuitos electrónicos se usan visualizadores de siete segmentos. La configuración de los siete segmentos están hechos mediante diodos emisores de luz (led s) que se configuran en una matriz, como se muestra a continuación: Loa visualizadores o display los hay de de dos tipos: ando común y cátodo común, para operarlos se usan los decodificadores/ manejadores de BCD a 7 segmentos (circuitos integrados 74XX47 y 74XX48 respectivamente), que toman en su entrada 4 bits en código BCD y en sus salidas proporcionan los voltajes y corrientes para activar los segmentos del display.

10 10 Desarrollo I.- DECODIFICADOR. a) Usando un decodificador y compuertas implementar la función L (A,B,C) = (0,3,4,7), comprobando su diseño mediante su tabla de verdad. b) Armar la configuración de una unidad de salida que contenga un decodificador de BCD a 7 segmentos (4511 o 74xx 48) y un visualizador tipo cátodo común (Figura 6). Figura 6. Decodificador de BCD a Siete Segmentos. II.-CODIFICADOR a) Armar la unidad de entrada que tenga los circuitos citados en la figura No. 7. b) Obtenga la tabla de verdad correspondiente. III.-CODIFICADOR/DECODIFICADOR a) Armar la unidad de entrada/salida que contenga los bloques de la figura No. 8 b) Obtenga la tabla de verdad correspondiente. IV.- Escriba sus observaciones y conclusiones.

11 11 Figura 7. Unidad de Entrada para Teclado BCD. Figura 8. Unidad de Entrada-Salida para un Teclado BCD.

INSTITUTO POLITÉCNICO NACIONAL ESCUELA SUPERIOR DE INGENIERIA MECANICA Y ELECTRICA INGENIERIA EN COMUNICACIONES Y ELECTRÓNICA ACADEMIA DE COMPUTACIÓN

INSTITUTO POLITÉCNICO NACIONAL ESCUELA SUPERIOR DE INGENIERIA MECANICA Y ELECTRICA INGENIERIA EN COMUNICACIONES Y ELECTRÓNICA ACADEMIA DE COMPUTACIÓN INSTITUTO POLITÉCNICO NACIONAL ESCUELA SUPERIOR DE INGENIERIA MECANICA Y ELECTRICA UNIDAD CULHUACAN INGENIERIA EN COMUNICACIONES Y ELECTRÓNICA ACADEMIA DE COMPUTACIÓN LABORATORIO DE CIRCUITOS DIGITALES

Más detalles

INSTITUTO POLITÉCNICO NACIONAL ESCUELA SUPERIOR DE INGENIERIA MECANICA Y ELECTRICA INGENIERIA EN COMUNICACIONES Y ELECTRÓNICA ACADEMIA DE COMPUTACIÓN

INSTITUTO POLITÉCNICO NACIONAL ESCUELA SUPERIOR DE INGENIERIA MECANICA Y ELECTRICA INGENIERIA EN COMUNICACIONES Y ELECTRÓNICA ACADEMIA DE COMPUTACIÓN I. P. N. ESIME Unidad Culhuacan INSTITUTO POLITÉCNICO NACIONAL ESCUELA SUPERIOR DE INGENIERIA MECANICA Y ELECTRICA UNIDAD CULHUACAN INGENIERIA EN COMUNICACIONES Y ELECTRÓNICA ACADEMIA DE COMPUTACIÓN LABORATORIO

Más detalles

INSTITUTO POLITÉCNICO NACIONAL ESCUELA SUPERIOR DE INGENIERIA MECANICA Y ELECTRICA INGENIERIA EN COMUNICACIONES Y ELECTRÓNICA ACADEMIA DE COMPUTACIÓN

INSTITUTO POLITÉCNICO NACIONAL ESCUELA SUPERIOR DE INGENIERIA MECANICA Y ELECTRICA INGENIERIA EN COMUNICACIONES Y ELECTRÓNICA ACADEMIA DE COMPUTACIÓN INSTITUTO POLITÉCNICO NACIONAL ESCUELA SUPERIOR DE INGENIERIA MECANICA Y ELECTRICA UNIDAD CULHUACAN INGENIERIA EN COMUNICACIONES Y ELECTRÓNICA ACADEMIA DE COMPUTACIÓN LABORATORIO DE CIRCUITOS DIGITALES

Más detalles

DECODIFICADORES. Para cualquier código dado en las entradas solo se activa una de las N posibles salidas. 2 N

DECODIFICADORES. Para cualquier código dado en las entradas solo se activa una de las N posibles salidas. 2 N DECODIFICADORES Tienen como función detectar la presencia de una determinada combinación de bits en sus entradas y señalar la presencia de este código mediante un cierto nivel de salida. Un decodificador

Más detalles

ARQUITECTURAS ESPECIALES

ARQUITECTURAS ESPECIALES RQUITECTURS ESPECILES Página Página 2 DECODIFICDOR Es un circuito combinacional, cuya función es la de convertir un código binario de entrada (natural, CD, etc.) de N bits de entrada a M líneas de salida

Más detalles

CIRCUITOS ARITMÉTICOS

CIRCUITOS ARITMÉTICOS LABORATORIO # 6 Realización: 26-05-2011 CIRCUITOS ARITMÉTICOS 1. OBJETIVOS Comprender los circuitos aritméticos dentro de la lógica binaria Utilizar sumadores totales de cuatro bits dentro de un Circuito

Más detalles

GUIA DE CIRCUITOS LOGICOS COMBINATORIOS

GUIA DE CIRCUITOS LOGICOS COMBINATORIOS GUIA DE CIRCUITOS LOGICOS COMBINATORIOS 1. Defina Sistema Numérico. 2. Escriba la Ecuación General de un Sistema Numérico. 3. Explique Por qué se utilizan distintas numeraciones en la Electrónica Digital?

Más detalles

PRÁCTICA 3 Montaje y evaluación de sistemas digitales combinacionales.

PRÁCTICA 3 Montaje y evaluación de sistemas digitales combinacionales. Montaje y evaluación de sistemas digitales combinacionales. 1. Objetivos El objetivo de la siguiente práctica es familiarizar al alumno con el manejo de sistemas combinacionales, además de: Manejar las

Más detalles

Nombre del estudiante: Grimaldo velazquez Rafael. Herrera Díaz Jefree. Campus: san Rafael

Nombre del estudiante: Grimaldo velazquez Rafael. Herrera Díaz Jefree. Campus: san Rafael Nombre del estudiante: Grimaldo velazquez Rafael Herrera Díaz Jefree Campus: san Rafael Carrera /Prepa: ingeniería en sistemas computacionales Introducción. Como en mecánica la conmutación electrónica

Más detalles

GUÍA DE APRENDIZAJE CIRCUITOS LOGICOS COMBINACIONALES

GUÍA DE APRENDIZAJE CIRCUITOS LOGICOS COMBINACIONALES GUÍA DE APRENDIZAJE CIRCUITOS LOGICOS COMBINACIONALES COMPETENCIA GENERAL Construye circuitos digitales básicos en base a circuitos integrados MSI. COMPETENCIAS PARTICULARES 1. Emplea los sistemas numéricos

Más detalles

Naturaleza binaria. Conversión decimal a binario

Naturaleza binaria. Conversión decimal a binario Naturaleza binaria En los circuitos digitales sólo hay 2 voltajes. Esto significa que al utilizar 2 estados lógicos se puede asociar cada uno con un nivel de tensión, así se puede codificar cualquier número,

Más detalles

Práctica 1. Compuertas Lógicas

Práctica 1. Compuertas Lógicas USLP FI Laboratorio de Sistemas Digitales Práctica 1 1.1 Objetivo Práctica 1 Compuertas Lógicas Conocer el funcionamiento, conexión y utilización de las compuertas lógicas ND, OR, NOT, NND, NOR, E -OR

Más detalles

4. Prácticas: Circuitos Combinacionales

4. Prácticas: Circuitos Combinacionales 4. Prácticas: Circuitos Combinacionales I. Ejercicios teóricos 1. Diseñar, empleando puertas lógicas, un codificador de ocho a tres líneas con salida en binario natural y prioridad a la entrada de mayor

Más detalles

CODIFICADORES Y DECODIFICADORES. DISPLAYS.

CODIFICADORES Y DECODIFICADORES. DISPLAYS. CODIFICADORES Y DECODIFICADORES. DISPLAYS. Los codificadores son sistemas combinacionales construidos en forma en forma de circuito integrado, que se encargan de transformar una serie de señales sin codificar

Más detalles

1. SISTEMAS DIGITALES

1. SISTEMAS DIGITALES 1. SISTEMAS DIGITALES DOCENTE: ING. LUIS FELIPE CASTELLANOS CASTELLANOS CORREO ELECTRÓNICO: FELIPECASTELLANOS2@HOTMAIL.COM FELIPECASTELLANOS2@GMAIL.COM PAGINA WEB MAESTROFELIPE.JIMDO.COM 1.1. INTRODUCCIÓN

Más detalles

TEMA 5. SISTEMAS COMBINACIONALES MSI.

TEMA 5. SISTEMAS COMBINACIONALES MSI. Fundamentos de Computadores. Circuitos Combinacionales MSI T5-1 TEMA 5. SISTEMAS COMBINACIONALES MSI. INDICE: INTRODUCCIÓN DECODIFICADORES o REALIZACIÓN DE FUNCIONES CON DECODIFICADORES CONVERTIDORES DE

Más detalles

FACULTAD DE INGENIERÍA

FACULTAD DE INGENIERÍA FACULTAD DE INGENIERÍA Diseño de Sistemas Digitales M.I. Norma Elva Chávez Rodríguez OBJETIVO El alumno comprenderá la importancia de los sistemas digitales, por lo que al terminar la it introducción ió

Más detalles

Diapositiva 1. Por supuesto, también se puede hacer lo contrario. Un demultiplexor envía una señal a una de muchas líneas.

Diapositiva 1. Por supuesto, también se puede hacer lo contrario. Un demultiplexor envía una señal a una de muchas líneas. Diapositiva 1 Por supuesto, también se puede hacer lo contrario. Un demultiplexor envía una señal a una de muchas líneas. Diapositiva 2 Diapositiva 3 Diapositiva 4 En los circuitos digitales la información

Más detalles

CIRCUITOS COMBINACIONALES

CIRCUITOS COMBINACIONALES Escuela Universitaria de Ingeniería Técnica Industrial de Bilbao Universidad del País Vasco / Euskal Herriko Unibertsitatea ELECTRONICA INDUSTRIAL CIRCUITOS COMBINACIONALES SANCHEZ MORONTA, M - UGALDE

Más detalles

CIRCUITOS DIGITALES -

CIRCUITOS DIGITALES - CIRCUITOS DIGITALES - INTRODUCCIÓN CIRCUITOS DIGITALES CIRCUITOS DIGITALES SON LOS QUE COMUNICAN Y PROCESAN INFORMACIÓN DIGITAL SEÑAL DIGITAL: SOLO PUEDE TOMAR UN NÚMERO FINITO DE VALORES. EN BINARIO:

Más detalles

Boletín de Problemas de Circuitos Combinacionales. Fundamentos de Electrónica 3º Curso Ingeniería Industrial

Boletín de Problemas de Circuitos Combinacionales. Fundamentos de Electrónica 3º Curso Ingeniería Industrial Boletín de Problemas de Circuitos Combinacionales Fundamentos de Electrónica 3º Curso Ingeniería Industrial 2 1. Utilizar el mapa de Karnaugh para implementar la forma suma de productos mínima de la función

Más detalles

ESPECIFICACIÓN DE LOS ÍTEMES DE PRUEBA

ESPECIFICACIÓN DE LOS ÍTEMES DE PRUEBA Mantenimiento, operación y diseño con dispositivos y circuitos electrónicos digitales Electricidad Matemática ESPECIFICACIÓN DE LOS ÍTEMES DE PRUEBA Aprendizaje Esperado Comprende el significado y las

Más detalles

OR (+) AND( ). AND AND

OR (+) AND( ). AND AND Algebra de Boole 2.1.Introducción 2.1. Introducción El Algebra de Boole es un sistema matemático que utiliza variables y operadores lógicos. Las variables pueden valer 0 o 1. Y las operaciones básicas

Más detalles

* En una computadora el microprocesador se comunica con uno de los siguientes dispositivos:

* En una computadora el microprocesador se comunica con uno de los siguientes dispositivos: Funciones incompletas Son funciones cuyo valor puede ser indistintamente 0 ó 1 para algunas combinaciones de las variables de entrada, bien porque dichas combinaciones no vayan a darse nunca en la práctica

Más detalles

TEMA 5. ELECTRÓNICA DIGITAL

TEMA 5. ELECTRÓNICA DIGITAL TEMA 5. ELECTRÓNICA DIGITAL 1. INTRODUCCIÓN Los ordenadores están compuestos de elementos electrónicos cuyas señales, en principio, son analógicas. Pero las señales que entiende el ordenador son digitales.

Más detalles

Compuertas Lógicas. M. en C. Erika Vilches

Compuertas Lógicas. M. en C. Erika Vilches Compuertas Lógicas M. en C. Erika Vilches El Inversor El inversor (circuito NOT) lleva a cabo la operación llamada inversión o complemento. Cambia un 1 por 0 y un 0 por 1 El indicador de negación es una

Más detalles

EL LOGRO DE SU FORMACIÓN DEPENDE TAMBIÉN DE USTED INSTRUCTOR: ING. JULIO CÉSAR BEDOYA PINO ELECTRÓNICA DIGITAL 2014

EL LOGRO DE SU FORMACIÓN DEPENDE TAMBIÉN DE USTED INSTRUCTOR: ING. JULIO CÉSAR BEDOYA PINO ELECTRÓNICA DIGITAL 2014 EL LOGRO DE SU FORMACIÓN DEPENDE TAMBIÉN DE USTED INSTRUCTOR: ING. JULIO CÉSAR BEDOYA PINO ELECTRÓNICA DIGITAL 2014 CONTENIDO ELECTRÓNICA DIGITAL SISTEMA DE REPRESENTACIÓN TABLA DE CONVERSIÓN EJERCICIOS

Más detalles

TECNICO SUPERIOR UNIVERSITARIO EN TECNOLOGIAS DE LA INFORMACION Y COMUNICACIÓN ÁREA REDES Y TELECOMUNICACIONES.

TECNICO SUPERIOR UNIVERSITARIO EN TECNOLOGIAS DE LA INFORMACION Y COMUNICACIÓN ÁREA REDES Y TELECOMUNICACIONES. TECNICO SUPERIOR UNIVERSITARIO EN TECNOLOGIAS DE LA INFORMACION Y COMUNICACIÓN ÁREA REDES Y TELECOMUNICACIONES. HOJA DE ASIGNATURA CON DESGLOSE DE UNIDADES TEMÁTICAS 1. Nombre de la asignatura Sistemas

Más detalles

UNIDADES DE ALMACENAMIENTO DE DATOS

UNIDADES DE ALMACENAMIENTO DE DATOS 1.2 MATÉMATICAS DE REDES 1.2.1 REPRESENTACIÓN BINARIA DE DATOS Los computadores manipulan y almacenan los datos usando interruptores electrónicos que están ENCENDIDOS o APAGADOS. Los computadores sólo

Más detalles

Matemáticas Básicas para Computación. Sesión 7: Compuertas Lógicas

Matemáticas Básicas para Computación. Sesión 7: Compuertas Lógicas Matemáticas Básicas para Computación Sesión 7: Compuertas Lógicas Contextualización En esta sesión lograremos identificar y comprobar el funcionamiento de las compuertas lógicas básicas, además podremos

Más detalles

CODIFICADORES CON PRIORIDAD. Grupo 2

CODIFICADORES CON PRIORIDAD. Grupo 2 CODIFICADORES CON PRIORIDAD Grupo 2 Descripción Los codificadores son circuitos combinacionales generalmente de 2 N entradas y N salidas, donde las salidas son el código binario correspondiente al valor

Más detalles

Clase 02: Representación de datos

Clase 02: Representación de datos Arquitectura de Computadores y laboratorio Clase 02: Representación de datos Departamento de Ingeniería de Sistemas Universidad de Antioquia 2015-2 Contenido 1 2 Representación de la Información Y sistemas

Más detalles

Circuitos Digitales CON José Manuel Ruiz Gutiérrez

Circuitos Digitales CON José Manuel Ruiz Gutiérrez Circuitos Digitales CON José Manuel Ruiz Gutiérrez j.m.r.gutierrez@gmail.com PRÁCTICAS DE CIRCUITOS DIGITALES Circuitos digitales básicos 1. Simulación de operadores lógicos básicos. Realizar la simulación

Más detalles

Tema 11: Sistemas combinacionales

Tema 11: Sistemas combinacionales Tema 11: Sistemas combinacionales Objetivo: Introducción Generador Comprobador de paridad Comparadores Semisumador (HA) Sumador Completo (FA) Expansión de sumadores Sumador paralelo con arrastre serie

Más detalles

FORMATO DE CONTENIDO DE CURSO

FORMATO DE CONTENIDO DE CURSO PÁGINA: 1 de 8 FACULTAD DE.CIENCIAS BÁSICAS PROGRAMA DE: FÍSICA PLANEACIÓN DEL CONTENIDO DE CURSO 1. IDENTIFICACIÓN DEL CURSO NOMBRE : ELECTRÓNICA II CÓDIGO : 210080 SEMESTRE : VII NUMERO DE CRÉDITOS :

Más detalles

Proyecto de Diseño 2

Proyecto de Diseño 2 Altera University Program 1 Proyecto de Diseño 2 Números y Visualizadores El objetivo de esta práctica es diseñar circuitos combinacionales que realicen conversiones numéricas de binario a decimal y adición

Más detalles

Primeros conmutadores: diodos de cristal y de tubos de vacío (1906). Transistor (TRT): más pequeño y fiable, de material semiconductor (1950).

Primeros conmutadores: diodos de cristal y de tubos de vacío (1906). Transistor (TRT): más pequeño y fiable, de material semiconductor (1950). Código binario en Sistemas Digitales Historia Primeros conmutadores: diodos de cristal y de tubos de vacío (1906). Transistor (TRT): más pequeño y fiable, de material semiconductor (1950). Circuitos integrados

Más detalles

REPUBLICA BOLIVARIANA DE VENEZUELA MINISTERIO DEL PODER POPULAR PARA LA EDUCACIÓN SUPERIOR IUT PEDRO EMILIO COLL

REPUBLICA BOLIVARIANA DE VENEZUELA MINISTERIO DEL PODER POPULAR PARA LA EDUCACIÓN SUPERIOR IUT PEDRO EMILIO COLL REPUBLICA BOLIVARIANA DE VENEZUELA MINISTERIO DEL PODER POPULAR PARA LA EDUCACIÓN SUPERIOR IUT PEDRO EMILIO COLL ELECTRÓNICA DIGITAL PROF. MARÍA GABRIELA DÍAZ SISTEMAS DE NUMERACIÓN El sistema de numeración

Más detalles

TEMA 4. MÓDULOS COMBINACIONALES.

TEMA 4. MÓDULOS COMBINACIONALES. TECNOLOGÍA DE COMPUTADORES. CURSO 27/8 TEMA 4. MÓDULOS COMBINACIONALES. 4.. Módulos combinacionales básicos MSI. Los circuitos combinacionales realizados con puertas lógicas implementan funciones booleanas,

Más detalles

Tema 3 : Algebra de Boole

Tema 3 : Algebra de Boole Tema 3 : Algebra de Boole Objetivo: Introducción al Algebra de Boole 1 INTRODUCCIÓN George Boole creó el álgebra que lleva su nombre en el primer cuarto del siglo XIX. Pretendía explicar las leyes fundamentales

Más detalles

CODIFICADORES. Cuando solo una de las entradas está activa para cada combinación de salida, se le denomina codificador completo.

CODIFICADORES. Cuando solo una de las entradas está activa para cada combinación de salida, se le denomina codificador completo. Circuitos Combinacionales MSI CODIFICADORES Son los dispositivos MSI que realizan la operación inversa a la realizada por los decodificadores. Generalmente, poseen 2 n entradas y n salidas. Cuando solo

Más detalles

La forma de manejar esta controladora es mediante un ordenador utilizando algún lenguaje de programación (Por ejemplo.: C, Visual Basic, Logo,...).

La forma de manejar esta controladora es mediante un ordenador utilizando algún lenguaje de programación (Por ejemplo.: C, Visual Basic, Logo,...). Instituto de Tecnologías Educativas Circuito de control El circuito de control es la parte más delicada de la controladora, ya que se encarga de controlar las entradas (Puerto LPT, Entradas Analógicas,

Más detalles

Solecmexico Página 1 SUMADOR BINARIO

Solecmexico Página 1 SUMADOR BINARIO Solecmexico Página 1 SUMADOR BINARIO Esta operación es la más común que se realiza en una computadora personal. Ya que las tres operaciones básicas restantes pueden realizarse de igual manera con el principio

Más detalles

UNIDAD 2 Configuración y operación de un sistema de cómputo Representación de datos Conceptos El concepto de bit (abreviatura de binary digit) es fundamental para el almacenamiento de datos Puede representarse

Más detalles

Operaciones Booleanas y Compuertas Básicas

Operaciones Booleanas y Compuertas Básicas Álgebra de Boole El álgebra booleana es la teoría matemática que se aplica en la lógica combinatoria. Las variables booleanas son símbolos utilizados para representar magnitudes lógicas y pueden tener

Más detalles

Transformación de binario a decimal. Transformación de decimal a binario. ELECTRÓNICA DIGITAL

Transformación de binario a decimal. Transformación de decimal a binario. ELECTRÓNICA DIGITAL ELECTRÓNICA DIGITAL La electrónica es la rama de la ciencia que se ocupa del estudio de los circuitos y de sus componentes, que permiten modificar la corriente eléctrica amplificándola, atenuándola, rectificándola

Más detalles

Nombre de la asignatura : Sistemas Digitales. Carrera : Ingeniería en Sistemas Computacionales. Clave de la asignatura : SCC-9335

Nombre de la asignatura : Sistemas Digitales. Carrera : Ingeniería en Sistemas Computacionales. Clave de la asignatura : SCC-9335 1. D A T O S D E L A A S I G N A T U R A Nombre de la asignatura : Sistemas Digitales Carrera : Ingeniería en Sistemas Computacionales Clave de la asignatura : SCC-95 Horas teoría-horas práctica-créditos

Más detalles

Tema 1. SISTEMAS DE NUMERACION

Tema 1. SISTEMAS DE NUMERACION Tema 1. SISTEMAS DE NUMERACION SISTEMAS DE NUMERACION Sistemas de numeración Sistema decimal Sistema binario Sistema hexadecimal Sistema octal. Conversión entre sistemas Códigos binarios SISTEMAS DE NUMERACION

Más detalles

153 = 1x100 + 5x10 + 3x1

153 = 1x100 + 5x10 + 3x1 ELECTRÓNICA DIGITAL Introducción Hemos visto hasta ahora algunos componentes muy utilizados en los circuitos de electrónica analógica. Esta tecnología se caracteriza porque las señales físicas (temperatura,

Más detalles

Tema 2. La Información y su representación

Tema 2. La Información y su representación Tema 2. La Información y su representación 2.1 Introducción. Un ordenador es una máquina que procesa información. La ejecución de un programa implica la realización de unos tratamientos, según especifica

Más detalles

Curso Completo de Electrónica Digital

Curso Completo de Electrónica Digital CURSO Curso Completo de Electrónica Digital Este curso de larga duración tiene la intención de introducir a los lectores más jovenes o con poca experiencia a la Electrónica Digital, base para otras ramas

Más detalles

Notas de Diseño Digital

Notas de Diseño Digital Notas de Diseño Digital Introducción El objetivo de estas notas es el de agilizar las clases, incluyendo definiciones, gráficos, tablas y otros elementos que tardan en ser escritos en el pizarrón, permitiendo

Más detalles

Representación de números en binario

Representación de números en binario Representación de números en binario Héctor Antonio Villa Martínez Programa de Ciencias de la Computación Universidad de Sonora El sistema binario es el más utilizado en sistemas digitales porque es más

Más detalles

La Unidad Procesadora.

La Unidad Procesadora. La Unidad Procesadora. En un sistema digital complejo, la capa de hardware de la máquina es el nivel más bajo del modelo de capas de un sistema microcomputarizado. La unidad procesadora es una parte del

Más detalles

Unidad I. 1.1 Sistemas numéricos (Binario, Octal, Decimal, Hexadecimal)

Unidad I. 1.1 Sistemas numéricos (Binario, Octal, Decimal, Hexadecimal) Unidad I Sistemas numéricos 1.1 Sistemas numéricos (Binario, Octal, Decimal, Hexadecimal) Los computadores manipulan y almacenan los datos usando interruptores electrónicos que están ENCENDIDOS o APAGADOS.

Más detalles

CAPITULO II SISTEMAS DE NUMERACIÓN Y CÓDIGOS

CAPITULO II SISTEMAS DE NUMERACIÓN Y CÓDIGOS SISTEMA DE NUMERACIÓN Y CÓDIGOS CAPITULO II SISTEMAS DE NUMERACIÓN Y CÓDIGOS CÓDIGO Un código es un grupo de símbolos que representan algún tipo de información reconocible. En los sistemas digitales, los

Más detalles

Curso a distancia: INTRODUCCIÓN AL DISEÑO LÓGICO PROGRAMABLE CON VHDL. Capítulo 3. Codificadores

Curso a distancia: INTRODUCCIÓN AL DISEÑO LÓGICO PROGRAMABLE CON VHDL. Capítulo 3. Codificadores Curso a distancia: INTRODUCCIÓN AL DISEÑO LÓGICO PROGRAMABLE CON VHDL Capítulo 3 Codificadores Codificadores binarios y codificadores de prioridad. Codificadores de 3 a 2 líneas y de 4 a dos líneas. Detector

Más detalles

Generación de funciones lógicas mediante decodificadores binarios con salidas activas a nivel alto

Generación de funciones lógicas mediante decodificadores binarios con salidas activas a nivel alto Generación de funciones lógicas mediante decodificadores binarios con salidas activas a nivel alto Apellidos, nombre Martí Campoy, Antonio (amarti@disca.upv.es) Departamento Centro Informática de Sistemas

Más detalles

Introducción a los Sistemas Digitales

Introducción a los Sistemas Digitales Tema Sistema Estructura y comportamiento Señal analógica y señal digital Señal binaria Sistemas de numeración Representación de números enteros Signo-magnitud Complemento a dos Codificación Códigos numéricos

Más detalles

PROGRAMA DE CURSO Modelo 2009

PROGRAMA DE CURSO Modelo 2009 REQUISITOS: HORAS: 3 Horas a la semana CRÉDITOS: PROGRAMA(S) EDUCATIVO(S) QUE LA RECIBE(N): IETRO PLAN: 2009 FECHA DE REVISIÓN: Mayo de 2011 Competencia a la que contribuye el curso. DEPARTAMENTO: Departamento

Más detalles

ELECTRÓNICA DIGITAL. Una señal es la variación de una magnitud que permite transmitir información. Las señales pueden ser de dos tipos:

ELECTRÓNICA DIGITAL. Una señal es la variación de una magnitud que permite transmitir información. Las señales pueden ser de dos tipos: ELECTRÓNICA DIGITAL INDICE 1. TIPOS DE SEÑALES... 3 1.1. SEÑALES ANALÓGICAS... 3 1.2. SEÑALES DIGITALES... 3 2. REPRESENTACIÓN DE LAS SEÑALES DIGITALES... 3 2.1. CRONOGRAMAS... 3 2.2. TABLA DE VERDAD...

Más detalles

Sistemas Electrónicos Industriales II EC2112

Sistemas Electrónicos Industriales II EC2112 Sistemas Electrónicos Industriales II EC2112 Prof. Julio Cruz Departamento de Electrónica Trimestre Enero-Marzo 2009 Sección 2 Previamente Fundamentos de los circuitos eléctricos Análisis de redes resistivas

Más detalles

Sistemas de numeración, operaciones y códigos.

Sistemas de numeración, operaciones y códigos. Tema : Sistemas de numeración, operaciones y códigos. Para representar ideas, los seres humanos (al menos los occidentales) utilizamos cadenas de símbolos alfanuméricos de un alfabeto definido. En el mundo

Más detalles

Práctica 4 Diseño de circuitos con puertas lógicas.

Práctica 4 Diseño de circuitos con puertas lógicas. Práctica 4 Diseño de circuitos con puertas lógicas. Descripción de la práctica: -Esta práctica servirá para afianzar los conocimientos adquiridos hasta ahora de simplificación, e implementación de funciones,

Más detalles

Sistemas de numeración

Sistemas de numeración Sistemas de numeración Sistema binario 0,1 Sistema octal 0, 1, 2, 3, 4, 5, 6, 7 Sistema decimal 0, 1, 2, 3, 4, 5, 6, 7, 8, 9 Sistema hexadecimal 0, 1, 2, 3, 4, 5, 6, 7, 8, 9, A, B, C, D, E, F Una señal

Más detalles

DISEÑO DE CIRCUITOS LOGICOS COMBINATORIOS

DISEÑO DE CIRCUITOS LOGICOS COMBINATORIOS DISEÑO DE CIRCUITOS LOGICOS COMBINATORIOS Circuitos Combinacionales Un circuito combinacional es un circuito digital cuyas salidas, en un instante determinado son función, exclusivamente, de la combinación

Más detalles

Matemática de redes Representación binaria de datos Bits y bytes

Matemática de redes Representación binaria de datos Bits y bytes Matemática de redes Representación binaria de datos Los computadores manipulan y almacenan los datos usando interruptores electrónicos que están ENCENDIDOS o APAGADOS. Los computadores sólo pueden entender

Más detalles

SISTEMAS DE NUMERACIÓN. Sistema decimal

SISTEMAS DE NUMERACIÓN. Sistema decimal SISTEMAS DE NUMERACIÓN Sistema decimal Desde antiguo el Hombre ha ideado sistemas para numerar objetos, algunos sistemas primitivos han llegado hasta nuestros días, tal es el caso de los "números romanos",

Más detalles

Sistemas Digitales Ingeniería Técnica en Informática de Sistemas Curso 2006 2007 Introducción a la lógica binaria

Sistemas Digitales Ingeniería Técnica en Informática de Sistemas Curso 2006 2007 Introducción a la lógica binaria binariaoliverio J. Santana Jaria 6. Introducción n a la lógica l Sistemas Digitales Ingeniería Técnica en Informática de Sistemas Curso 2006 2007 Las cuándo lógica una es determinada la parte del razonamiento

Más detalles

T6. CIRCUITOS ARITMÉTICOS

T6. CIRCUITOS ARITMÉTICOS T6. CIRCUITOS ARITMÉTICOS Circuitos Aritméticos Son dispositivos MSI que pueden realizar operaciones aritméticas (suma, resta, multiplicación y división) con números binarios. De todos los dispositivos,

Más detalles

DE SISTEMAS: ANALÓGICOS:

DE SISTEMAS: ANALÓGICOS: Fundamentos de Electrónica 1 Sistema Digital Paso de mundo analógico a digital Tipos de Sistemas Digitales Representación de la información Sistemas de Numeración Cambios de Base Sistema Binario, hexadecimal

Más detalles

Circuitos lógicos MSI Combinacionales

Circuitos lógicos MSI Combinacionales Departamento de Electrónica Electrónica Digital Circuitos lógicos MSI Combinacionales Facultad de Ingeniería Bioingeniería Universidad Nacional de Entre Ríos 1 Temario Decodificadores / Conversores de

Más detalles

LABORATORIO DE COMPUTADORAS

LABORATORIO DE COMPUTADORAS TP 1 LABORATORIO DE COMPUTADORAS Facultad de Ingeniería. UNJu Tema: Sistemas Numéricos y Diseño Combinacional y Secuencial Apellido y Nombre: LU: Carrera: Fecha: 2013 EJEMPLOS Estándar IEEE 754 El estándar

Más detalles

Anterior Sistemas binarios: Aritmética binaria Siguiente ARITMÉTICA BINARIA. Operaciones elementales con números binarios

Anterior Sistemas binarios: Aritmética binaria Siguiente ARITMÉTICA BINARIA. Operaciones elementales con números binarios 1 de 10 27/09/11 09:57 Anterior Sistemas binarios: Aritmética binaria Siguiente ARITMÉTICA BINARIA Operaciones elementales con números binarios Suma de números binarios Resta de números binarios Complemento

Más detalles

ELO211: Sistemas Digitales. Tomás Arredondo Vidal 1er Semestre 2009

ELO211: Sistemas Digitales. Tomás Arredondo Vidal 1er Semestre 2009 ELO211: Sistemas Digitales Tomás Arredondo Vidal 1er Semestre 2009 Este material está basado en: textos y material de apoyo: Contemporary Logic Design 1 st / 2 nd edition. Gaetano Borriello and Randy Katz.

Más detalles

SITEMA BINARIO, OCTAL Y HEXADECIMAL: OPERACIONES

SITEMA BINARIO, OCTAL Y HEXADECIMAL: OPERACIONES Unidad Aritmética Lógica La Unidad Aritmético Lógica, en la CPU del procesador, es capaz de realizar operaciones aritméticas, con datos numéricos expresados en el sistema binario. Naturalmente, esas operaciones

Más detalles

Sistemas Digitales. Circuitos Codificadores

Sistemas Digitales. Circuitos Codificadores Sistemas Digitales Circuitos Codificadores Se definen como tal, a circuitos combinacionales que tienen 2 n entradas y n salidas, aunque en algunos casos prácticos, suelen tener menos entradas. A cada una

Más detalles

INFORMÁTICA. Práctica 5. Programación en C. Grado en Ingeniería en Electrónica y Automática Industrial. Curso 2013-2014. v1.0 (05.03.

INFORMÁTICA. Práctica 5. Programación en C. Grado en Ingeniería en Electrónica y Automática Industrial. Curso 2013-2014. v1.0 (05.03. INFORMÁTICA Práctica 5. Programación en C. Grado en Ingeniería en Electrónica y Automática Industrial Curso 2013-2014 v1.0 (05.03.14) A continuación figuran una serie de ejercicios propuestos, agrupados

Más detalles

Tema 4: Circuitos combinacionales

Tema 4: Circuitos combinacionales Estructura de computadores Tema 4: Circuitos combinacionales Tema 4: Circuitos combinacionales 4.0 Introducción Los circuitos lógicos digitales pueden ser de dos tipos: combinacionales secuenciales. Circuitos

Más detalles

Sistemas de Numeración Operaciones - Códigos

Sistemas de Numeración Operaciones - Códigos Sistemas de Numeración Operaciones - Códigos Tema 2 1. Sistema decimal 2. Sistema binario 3. Sistema hexadecimal 4. Sistema octal 5. Conversión decimal binario 6. Aritmética binaria 7. Complemento a la

Más detalles

Tabla de verdad. La función lógica es aquella que relaciona las entradas y salidas de un circuito lógico. Puede expresarse mediante:

Tabla de verdad. La función lógica es aquella que relaciona las entradas y salidas de un circuito lógico. Puede expresarse mediante: T-2 Álgebra de oole. ógica combinacional TM - 2 ÁGR D OO. ÓGI OMINION. l control digital, y en particular el binario, está presente en todos los campos de la vida, desde los sistemas de refrigeración hasta

Más detalles

PRÁCTICA 1b: SUMA Y RESTA BINARIA

PRÁCTICA 1b: SUMA Y RESTA BINARIA DEPARTAMENTO DE AUTOMÁTICA UAH GRADO EN INGENIERÍA INFORMÁTICA OBJETIVOS PRÁCTICA 1b: SUMA Y RESTA BINARIA! Iniciar y familiarizar al alumno con las operaciones básicas (suma y resta) con números binarios.!

Más detalles

SISTEMAS NUMERICOS CAMILO ANDREY NEIRA IBAÑEZ UNINSANGIL INTRODUCTORIO A LA INGENIERIA LOGICA Y PROGRAMACION

SISTEMAS NUMERICOS CAMILO ANDREY NEIRA IBAÑEZ UNINSANGIL INTRODUCTORIO A LA INGENIERIA LOGICA Y PROGRAMACION SISTEMAS NUMERICOS CAMILO ANDREY NEIRA IBAÑEZ UNINSANGIL INTRODUCTORIO A LA INGENIERIA LOGICA Y PROGRAMACION CHIQUINQUIRA (BOYACA) 2015 1 CONTENIDO Pág. QUE ES UN SISTEMA BINARIO. 3 CORTA HISTORIA DE LOS

Más detalles

TECNOLOGÍA 4º ESO. 20 2 Realizando la lectura como indica la flecha 0 10 2 obtenemos: 20 10) =10100 2) 0 5 2 1 2 2 0 1 Lectura

TECNOLOGÍA 4º ESO. 20 2 Realizando la lectura como indica la flecha 0 10 2 obtenemos: 20 10) =10100 2) 0 5 2 1 2 2 0 1 Lectura Ejercicio Nº1 : La electrónica digital trabaja con dos niveles de tensión 0 V ó 5 voltios, equivalentes a 0 y 1, es decir, ausencia de tensión y presencia de tensión. Al trabajar sólo con dos niveles de

Más detalles

Materia Introducción a la Informática

Materia Introducción a la Informática Materia Introducción a la Informática Unidad 1 Sistema de Numeración Ejercitación Prof. Alejandro Bompensieri Introducción a la Informática - CPU Ejercitación Sistemas de Numeración 1. Pasar a base 10

Más detalles

21/02/2012. Agenda. Unidad Central de Procesamiento (CPU)

21/02/2012. Agenda. Unidad Central de Procesamiento (CPU) Agenda 0 Tipos de datos 0 Sistemas numéricos 0 Conversión de bases 0 Números racionales o Decimales 0 Representación en signo-magnitud 0 Representación en complemento Unidad Central de Procesamiento (CPU)

Más detalles

Operación de circuitos electrónicos a equipos de refrigeración y aire acondicionado: CONALEP SLRC DECODIFICADOR

Operación de circuitos electrónicos a equipos de refrigeración y aire acondicionado: CONALEP SLRC DECODIFICADOR DECODIFICADOR El decodificador es un circuito combinacional diseñado para convertir un número binario (entrada) en word de "unos" y "ceros" (niveles altos y bajos de voltaje) con un orden distinto, para

Más detalles

1. Informática e información. 2. Sistemas de numeración. 3. Sistema binario, operaciones aritméticas en binario, 4. Sistemas octal y hexadecimal. 5.

1. Informática e información. 2. Sistemas de numeración. 3. Sistema binario, operaciones aritméticas en binario, 4. Sistemas octal y hexadecimal. 5. Representación de la información Contenidos 1. Informática e información. 2. Sistemas de numeración. 3. Sistema binario, operaciones aritméticas en binario, 4. Sistemas octal y hexadecimal. 5. Conversiones

Más detalles

1. Representación de la información en los sistemas digitales

1. Representación de la información en los sistemas digitales Oliverio J. SantanaJaria Sistemas Digitales Ingeniería Técnica en Informática de Sistemas Curso 2005 2006 1. Representación de la información en los sistemas digitales Durante Hoy Los digital tipo muchos

Más detalles

Tema I. Sistemas Numéricos y Códigos Binarios

Tema I. Sistemas Numéricos y Códigos Binarios Tema I. Sistemas Numéricos y Códigos Binarios Números binarios. Aritmética binaria. Números en complemento-2. Códigos binarios (BCD, alfanuméricos, etc) Números binarios El bit. Representación de datos

Más detalles

38.1. Principios de electrónica digital. 38.1.1. Sistemas digitales y analógicos

38.1. Principios de electrónica digital. 38.1.1. Sistemas digitales y analógicos Tema 8. Principios de electrónica digital. Álgebra de Boole. Puertas lógicas. Funciones básicas combinacionales: decodificadores, codificadores, multiplexores y otras. Simbología, tipología, función y

Más detalles

REGISTROS DE DESPLAZAMIENTO

REGISTROS DE DESPLAZAMIENTO REGISTROS DE DESPLAZAMIENTO Es un circuito digital que acepta datos binarios de una fuente de entrada y luego los desplaza, un bit a la vez, a través de una cadena de flip-flops. Este sistema secuencial

Más detalles

13/10/2013. Clase 02: Sistemas de Numeración. Sistemas Digitales y Arquitectura de Computadoras. Ing. Christian Lezama Cuellar.

13/10/2013. Clase 02: Sistemas de Numeración. Sistemas Digitales y Arquitectura de Computadoras. Ing. Christian Lezama Cuellar. Clase 02: Sistemas de Numeración Ing. Christian Lezama Cuellar Semestre 2013-I Sistemas Digitales y Arquitectura de Computadoras 1 Conjunto de números que se relacionan para expresar la relación existente

Más detalles

ELECTRÓNICA DIGITAL. Sistemas analógicos y digitales.

ELECTRÓNICA DIGITAL. Sistemas analógicos y digitales. ELECTRÓNICA DIGITAL El tratamiento de la información en electrónica se puede realizar de dos formas, mediante técnicas analógicas o mediante técnicas digitales. El analógico requiere un análisis detallado

Más detalles

TEMA 6 ARITMÉTICA BINARIA Y CIRCUITOS ARITMÉTICOS

TEMA 6 ARITMÉTICA BINARIA Y CIRCUITOS ARITMÉTICOS TEMA 6 ARITMÉTICA BINARIA Y CIRCUITOS ARITMÉTICOS . ARITMÉTICA BINARIA. Aritmética binaria básica a) Suma binaria.sea C i el acarreo (carry) generado al sumar los bits A i B i (A i +B i ) 2. Sea i= y C

Más detalles

Unidad Didáctica. Códigos Binarios

Unidad Didáctica. Códigos Binarios Unidad Didáctica Códigos Binarios Programa de Formación Abierta y Flexible Obra colectiva de FONDO FORMACION Coordinación Diseño y maquetación Servicio de Producción Didáctica de FONDO FORMACION (Dirección

Más detalles

Tema 5: Álgebra de Boole Funciones LógicasL

Tema 5: Álgebra de Boole Funciones LógicasL Tema 5: Álgebra de Boole Funciones LógicasL Ingeniería Informática Universidad Autónoma de Madrid 1 Álgebra de Boole.. Funciones LógicasL O B J E T I V O S Conocer el Álgebra de Boole, sus teoremas y las

Más detalles

Proyecto de Diseño # 3 DISEÑO E IMPLEMENTACIÓN DE SISTEMAS ARITMÉTICOS MATERIAL ADICIONAL

Proyecto de Diseño # 3 DISEÑO E IMPLEMENTACIÓN DE SISTEMAS ARITMÉTICOS MATERIAL ADICIONAL INSTITUTO TECNOLÓGICO DE COSTA RICA ESCUELA DE INGENIERÍA ELECTRÓNICA IE-3308: LABORATORIO DE DISEÑO LÓGICO Prof. Ing. Luis C. Rosales Proyecto de Diseño # 3 DISEÑO E IMPLEMENTACIÓN DE SISTEMAS ARITMÉTICOS

Más detalles

❷ Aritmética Binaria Entera

❷ Aritmética Binaria Entera ❷ Una de las principales aplicaciones de la electrónica digital es el diseño de dispositivos capaces de efectuar cálculos aritméticos, ya sea como principal objetivo (calculadoras, computadoras, máquinas

Más detalles