En cuanto al diseño Hardware, a parte de la síntesis de circuitos en VHDL ( Very High

Tamaño: px
Comenzar la demostración a partir de la página:

Download "En cuanto al diseño Hardware, a parte de la síntesis de circuitos en VHDL ( Very High"

Transcripción

1 RESUMEN En este proyecto se va a realizar un analizador lógico en el cual, la parte de control será una FPGA que gestionará la forma de muestrear los valores de las señales digitales de entrada y almacenar dichas muestras en una serie de 8 memorias RAM estáticas (S- RAM). También se encargará de enviar esas muestras almacenadas a un PC por un puerto serie, que será a su vez el que realice la tarea de configuración del analizador y tendrá que mostrar el valor temporal de las señales muestreadas de forma visual. Las señales de entrada procederán de unos Buffer de protección conectados entre la FPGA y el dispositivo que se desee analizar. Se pretende desarrollar un analizador con varios parámetros de configuración variables tales como el número de entradas, la velocidad o frecuencia de muestreo y el número de muestras a almacenar (profundidad de datos); y varios modos de disparo (modo manual, flanco de subida, flanco de bajada y patrón). En total se conseguirá un analizador variable entre 4 y 32 entradas, con una profundidad de muestras máxima de 2MB y 256KB respectivamente (4 x 2MB, 8 x 1MB, 16 x 512KB y 32 x 256KB) y una velocidad de muestreo de entre 2500Hz y 160MHz. El objetivo es establecer una comunicación entre el PC y el analizador para que mediante un programa informático, el usuario introduzca los parámetros de configuración del analizador y el modo de disparo. Tras tener estos valores recogidos, el PC los enviará para que el analizador responda acorde con los mismos. Cuando se cumplan las condiciones de disparo, se realizará un muestreo de las entradas seleccionadas a la velocidad marcada. Una vez se hallan capturado el número de muestras establecido, se procederá al envío de estas muestras al ordenador para que éste, desde el programa principal, realice la escritura del valor de las muestras recibidas en un fichero. Este fichero tendrá un formato especial (extensión.vec) que podrá ser utilizado en el entorno MaxPlus II, una herramienta capaz de mostrar la evolución temporal de los datos recogidos en ese fichero.

2 La parte de comunicación (programación en C++ para el puerto serie) y de programación del software necesario para crear un menú de configuración y otro de muestreo (programación en C) se realizará mediante el programa Dev C++, mientras que la parte de especificación de Hardware en VHDL para configurar la FPGA se realizará con el mismo programa que se utiliza para abrir el fichero que contiene la información de las muestras del analizador, es decir, con MaxPlus II. En cuanto al diseño Hardware, a parte de la síntesis de circuitos en VHDL ( Very High Speed Integrated Circuit Hardware Description Language ), se necesita disponer de un circuito físico donde se incorporarán los componentes necesarios para que funcione como el analizador descrito. Se desarrollarán dos circuitos: uno de prueba para acoplar a la tarjeta Prince de la universidad (que dispone de una FPGA y puerto serie) en el que se realizarán todas la pruebas del código VHDL generado, comprobando que se consigue escribir en las memorias de todas las formas posibles (manual, flanco y patrón). En dicho circuito de prueba se pondrán dos memorias RAM, un buffer de 8 entradas de protección y los conectores necesarios para acoplarlo a la tarjeta Prince. En el segundo circuito estarán incluidas las 8 RAM, 4 Buffers de 8 entradas cada uno, un puerto serie con interfaz RS232, una FPGA, varios pulsadores y leds, y el resto de componentes necesarios para programar/configurar la FPGA y tener en funcionamiento el conjunto del analizador. Para el diseño de los dos circuitos se ha utilizado el programa Eagle Layout Editor. El analizador de prueba se desarrolló en la universidad con una placa de doble cara positiva que se fotolitografió con luz ultravioleta, mientras que el diseño del Analizador JTG_05 se hizo con tecnología de fabricación de clase 4 y a 4 capas (2 externas de datos y 2 planos internos de alimentación). El diseño VHDL se comprende, por este orden temporal, de: la recepción de los parámetros de configuración enviados por puerto serie desde el ordenador, la comprobación de las condiciones de disparo, el muestreo de las señales de entrada y almacenamiento en las memorias si se cumplen esas condiciones de disparo, lectura de los datos uno a uno de las muestras y envío por puerto serie al ordenador (estas dos últimas tareas estarán intercaladas entre si, es decir, se lee un dato y no se lee el siguiente hasta que no sea enviado).

3 En conclusión, se ha conseguido realizar un analizador lógico de bajo coste con buenas prestaciones y en comparación con modelos de analizadores de venta al público se observan, concretamente las siguientes ventajas competitivas: El precio del analizador desarrollado es de 316 mientras que uno de similares características e incluso con prestaciones más bajas ronda los Por otra parte, se tiene un analizador portátil de un tamaño reducido y peso ligero (232 gramos) incorporable e integrable en cualquier PC convencional. Además, posee un número de entradas a muestrear variable en función de las necesidades del usuario, frente a la rigidez en número de señales que ofrecen otros fabricantes. En cuestión de velocidad y de profundidad de procesado, supera con amplitud el producto comparado anteriormente cuyo coste es de Para alcanzar la velocidad y profundidad de procesado del presente analizador, el mercado exige precios en el entorno de 9000.

4 ABSTRACT This project deals with the development of a logic analyzer based on a FPGA ( File Programmable Gate Array ) that will be the brain of the device. It will take samples of some inputs connected to the analyzer protected with a Buffer, and after that, they will be stored into a line of 8 static RAM memories (SRAM). The FPGA, also will have to take the stored input samples and send to the computer connected to it through the serial port. Once we have received all data from the analyzer, the PC will take this samples and they will be stored into a file with the extension *.vec. its purpose is to display the data waveform in a screen. The idiea is to develop an analyzer with several and variable configuration parameters, such as the number of channels, speed or frequency of operation, and the number of samples to be stored (data depth); several triggering alternatives (manual, positive and negative flanks and pattern). Specifically, the analyzer will have between 4 and 32 channels, a maximum data depth from 2MB to 256 KB respectively (4 x 2MB, 8 x 1MB, 16 x 512KB and 32 x 256KB) and a speed sample rate from 2500Hz to 160MHz. The objective is to establish communication between the Pc and the analyzer in such a way that the user, through the main program executed, introduces the analyzer configuration parameters as well as the triggering mode. Once these values are gathered, they are sent by the PC so the analyzer responds accordingly. If the triggering conditions are met, it will proceed with the sampling of the selected signals at the fixed speed, and, once the established number of samples is met, the analyzer will proceed with sending all this samples to the computer. The PC, from the main program, will open a file to write the samples on it. This kind of file will have a special format, in a way that can be used in MaxPlus II environment, due to the possibility of showing the temporary evolution of the data gathered in the file. The communication part (programming in C++ for the serial port) and the software programming which is needed to create one configuration menu and other menu of triggering (programming in C) will be carried out through the programme called Dev C++ in its free version, while the VHDL (Very High Speed Integrated Circuit Hardware Description Language) will be designed by the programme MaxPlus II.

5 Referring to the Hardware design, it is needed to have one physical circuit, where to include the necessary components to make the board works as an analyzer. We have develop two different circuits, one is the test board, that will be joined to the Prince board of the university (this board has one FPGA and a serial port). In this first circuit all the necessary codes in VHDL to run the analyzer in all of his triggering modes (manual, flank and pattern) will be charged. This board will have two SRAM memories, one protection buffer of 8 inputs and the connecters necessary to couple the circuit to the Prince board. In a second circuit (the last one), we will put all the memories (8 in total), one serial port with RS232 interface, one Altera FPGA, some buttons and leds and the rest of devices necessary to configure the FPGA and to maintain the analyzer operative. To design both circuits, it was used the Eagle Layout Editor programme, developing the trial board in the university and the final one (Analizador JTG_05) in a technology of manufacturing of class 4 (clearance between different wires of 8 mils), with 4 layers (2 external signal layers and 2 internal supply layers). The VHDL design will have to do this tasks (in temporal order): receive the configuration parameters via serial port from the connected computer, check the triggering conditions, sample the inputs and store these samples into the memories if these conditions fit, read the data from the RAM one by one and send them to the PC via serial port (the two last tasks are alternate: data can not be read if the last one was not sent). In conclusion, it has been developed a low cost logic analyzer with very good features in comparison to other analyzer that you can find in a shop (high speed operation, data sample depth, variable parameters and advance triggering). You can purchase this analyzer by 320, while other analyzers of similar characteristics costs more than Also you can use the device with any conventional computer, it is portable and low weight (232g).

OSCILLATION 512 (LM 3R)

OSCILLATION 512 (LM 3R) Application Note The following application note allows to locate the LM series devices (LM3E, LM3R, LM4 and LM5) within network and check its connection information: Name, MAC, dynamic IP address and static

Más detalles

DISEÑO DE UN PLC DOMÉSTICO UTILIZANDO UN MICROCONTROLADOR PIC-18F4550

DISEÑO DE UN PLC DOMÉSTICO UTILIZANDO UN MICROCONTROLADOR PIC-18F4550 DISEÑO DE UN PLC DOMÉSTICO UTILIZANDO UN MICROCONTROLADOR PIC-18F4550 QUIRINO JIMENEZ DOMINGUEZ, MARGARITA ALVAREZ CERVERA INSTITUTO TECNOLÓGICO DE MÉRIDA qjimenezdo@yahoo.com.mx RESUMEN: En el presente

Más detalles

Sistema de Control Domótico

Sistema de Control Domótico UNIVERSIDAD PONTIFICIA COMILLAS ESCUELA TÉCNICA SUPERIOR DE INGENIERÍA (ICAI) INGENIERO EN ELECTRÓNICA Y AUTOMATICA PROYECTO FIN DE CARRERA Sistema de Control Domótico a través del bus USB Directores:

Más detalles

Este proyecto tiene como finalidad la creación de una aplicación para la gestión y explotación de los teléfonos de los empleados de una gran compañía.

Este proyecto tiene como finalidad la creación de una aplicación para la gestión y explotación de los teléfonos de los empleados de una gran compañía. SISTEMA DE GESTIÓN DE MÓVILES Autor: Holgado Oca, Luis Miguel. Director: Mañueco, MªLuisa. Entidad Colaboradora: Eli & Lilly Company. RESUMEN DEL PROYECTO Este proyecto tiene como finalidad la creación

Más detalles

DISEÑO DE UN CRONOTERMOSTATO PARA CALEFACCIÓN SOBRE TELÉFONOS MÓVILES. Entidad Colaboradora: ICAI Universidad Pontificia Comillas.

DISEÑO DE UN CRONOTERMOSTATO PARA CALEFACCIÓN SOBRE TELÉFONOS MÓVILES. Entidad Colaboradora: ICAI Universidad Pontificia Comillas. DISEÑO DE UN CRONOTERMOSTATO PARA CALEFACCIÓN SOBRE TELÉFONOS MÓVILES Autor: Sánchez Gómez, Estefanía Dolores. Directores: Pilo de la Fuente, Eduardo. Egido Cortés, Ignacio. Entidad Colaboradora: ICAI

Más detalles

MANUAL EASYCHAIR. A) Ingresar su nombre de usuario y password, si ya tiene una cuenta registrada Ó

MANUAL EASYCHAIR. A) Ingresar su nombre de usuario y password, si ya tiene una cuenta registrada Ó MANUAL EASYCHAIR La URL para enviar su propuesta a la convocatoria es: https://easychair.org/conferences/?conf=genconciencia2015 Donde aparece la siguiente pantalla: Se encuentran dos opciones: A) Ingresar

Más detalles

Sistema basado en firma digital para enviar datos por Internet de forma segura mediante un navegador.

Sistema basado en firma digital para enviar datos por Internet de forma segura mediante un navegador. Sistema basado en firma digital para enviar datos por Internet de forma segura mediante un navegador. Autor: David de la Fuente González Directores: Rafael Palacios, Javier Jarauta. Este proyecto consiste

Más detalles

Agustiniano Ciudad Salitre School Computer Science Support Guide - 2015 Second grade First term

Agustiniano Ciudad Salitre School Computer Science Support Guide - 2015 Second grade First term Agustiniano Ciudad Salitre School Computer Science Support Guide - 2015 Second grade First term UNIDAD TEMATICA: INTERFAZ DE WINDOWS LOGRO: Reconoce la interfaz de Windows para ubicar y acceder a los programas,

Más detalles

Los bloques DLL (Figura A.1) externos permiten al usuario escribir su propio código y

Los bloques DLL (Figura A.1) externos permiten al usuario escribir su propio código y Apéndice A Bloques DLL Los bloques DLL (Figura A.1) externos permiten al usuario escribir su propio código y programarlo en lenguaje C, compilarlo dentro de un archivo DLL usando el Microsoft C/C++ o el

Más detalles

Los ensayos que se van a desarrollar son los siguientes:

Los ensayos que se van a desarrollar son los siguientes: I Resumen El objetivo principal del proyecto es desarrollar un software que permita analizar unos datos correspondientes a una serie de ensayos militares. Con este objetivo en mente, se ha decidido desarrollar

Más detalles

Sistema!de!iluminación!de!un!longboard!

Sistema!de!iluminación!de!un!longboard! Sistemadeiluminacióndeunlongboard RESUMEN JuanJacoboMonteroMuñoz GradoenIngenieríaelectromecánica,electrónicaindustrial DoblediplomaconSupélecParís. Este proyecto ha sido desarrollado en París, en la Ecole

Más detalles

Sistemas de impresión y tamaños mínimos Printing Systems and minimum sizes

Sistemas de impresión y tamaños mínimos Printing Systems and minimum sizes Sistemas de impresión y tamaños mínimos Printing Systems and minimum sizes Para la reproducción del Logotipo, deberán seguirse los lineamientos que se presentan a continuación y que servirán como guía

Más detalles

FCC Information : Warning: RF warning statement:

FCC Information : Warning: RF warning statement: FCC Information : This device complies with Part 15 of the FCC Rules. Operation is subject to the following two conditions: (1) This device may not cause harmful interference, and (2) This device must

Más detalles

Conexión de GPS a Open CPN.

Conexión de GPS a Open CPN. Conexión de GPS a Open CPN. Los GPS pueden ser por Bluetooth, USB o Serie. Trasmiten los datos a través de un puerto serie o Puerto COM Los puertos COM son puertos de comunicación Serie; que puede ser

Más detalles

DISEÑO DEL EQUIPAMIENTO DE UN ESTUDIO DE GRABACIÓN DIGITAL RESUMEN. Sergio Herreros Carballo

DISEÑO DEL EQUIPAMIENTO DE UN ESTUDIO DE GRABACIÓN DIGITAL RESUMEN. Sergio Herreros Carballo DISEÑO DEL EQUIPAMIENTO DE UN ESTUDIO DE GRABACIÓN DIGITAL RESUMEN Sergio Herreros Carballo El presente proyecto describe la instalación de audio de un estudio de grabación digital musical. La finalidad

Más detalles

Tema: Hardware de una Computadora. Profesora : LSC Sujey Anahí Díaz Herrera

Tema: Hardware de una Computadora. Profesora : LSC Sujey Anahí Díaz Herrera Área Académica: Informática I Tema: Hardware de una Computadora Profesora : LSC Sujey Anahí Díaz Herrera Periodo: Enero-Junio de 2012 Tema: Hardware de una computadora Abstract Computerswork by two basic

Más detalles

UNIVERSIDAD DE SALAMANCA

UNIVERSIDAD DE SALAMANCA UNIVERSIDAD DE SALAMANCA FACULTAD DE CIENCIAS INGENIERÍA TÉCNICA EN INFORMÁTICA DE SISTEMAS Resumen del trabajo práctico realizado para la superación de la asignatura Proyecto Fin de Carrera. TÍTULO SISTEMA

Más detalles

Bases de datos. 1. Introducción

Bases de datos. 1. Introducción Bases de datos 1. Introducción Habitualmente, los programas trabajan con información que está en un fichero. Por ejemplo, nuestro simulador de tráfico aéreo trabaja con una lista de planes de vuelo que

Más detalles

Sierra Security System

Sierra Security System Using Your SpreadNet Accessories With Your Sierra Security System Uso de Sus Accesorios SpreadNet Con Su Sistema de Seguridad Sierra SN990-KEYPAD SN961-KEYFOB SN991-REMOTE 1 SN990-KEYPAD The SN990-KEYPAD

Más detalles

Creating your Single Sign-On Account for the PowerSchool Parent Portal

Creating your Single Sign-On Account for the PowerSchool Parent Portal Creating your Single Sign-On Account for the PowerSchool Parent Portal Welcome to the Parent Single Sign-On. What does that mean? Parent Single Sign-On offers a number of benefits, including access to

Más detalles

ETS APPs 26.10.2012 MATELEC 2012. Nuevas Funciones para ETS. Madrid. Casto Cañavate KNX Association International

ETS APPs 26.10.2012 MATELEC 2012. Nuevas Funciones para ETS. Madrid. Casto Cañavate KNX Association International ETS APPs Nuevas Funciones para ETS 26.10.2012 MATELEC 2012 Madrid Casto Cañavate KNX Association International KNX Association International Page No. 2 Introducción Diversidad de Proyectos Viviendas Oficinas

Más detalles

SOCIALIZANDO EL CAMPUS VIRTUAL ATENEA DE LA UPC. Cataluña

SOCIALIZANDO EL CAMPUS VIRTUAL ATENEA DE LA UPC. Cataluña SOCIALIZANDO EL CAMPUS VIRTUAL ATENEA DE LA UPC Isabel Gallego 1, Imma Torra 2, Sisco Villas 3, Joaquim Morte 4, Oriol Sánchez 5, Enric Ribot 6 1, 2, 3, 4, 5,6 Instituto de Ciencias de la Educación, Universidad

Más detalles

TARJETA DE DESARROLLO CPLD

TARJETA DE DESARROLLO CPLD TARJETA DE DESARROLLO CPLD XC9572xl Serie 1 Características CPLD XC9572 xl vq64. o VQFP - 64 pines. o 52 pines I/O de usuario. o 5ns de retardo entre pines. o Frecuencia hasta 178MHz. o 72 macroceldas.

Más detalles

PROCESAMIENTO DIGITAL DE IMÁGENES MEDIANTE EL USO DE UN FPGA Y LENGUAJE VHDL

PROCESAMIENTO DIGITAL DE IMÁGENES MEDIANTE EL USO DE UN FPGA Y LENGUAJE VHDL PROCESAMIENTO DIGITAL DE IMÁGENES MEDIANTE EL USO DE UN FPGA Y LENGUAJE VHDL N. E. Chávez Rodríguez*, A. M. Vázquez Vargas** *Departamento de Computación **Departamento de Procesamiento Digital de Señales

Más detalles

Kuapay, Inc. Seminario Internacional Modernización de los medios de pago en Chile

Kuapay, Inc. Seminario Internacional Modernización de los medios de pago en Chile Kuapay, Inc. Seminario Internacional Modernización de los medios de pago en Chile Our value proposition Kuapay s motto and mission Convert electronic transactions into a commodity Easy Cheap!!! Accessible

Más detalles

NINO PICKIT2 PIC PROGRAMMER

NINO PICKIT2 PIC PROGRAMMER NINO PICKIT2 PIC PROGRAMMER El programador PicKit2, es una herramienta de programación para desarrollo de bajo costo. Es capaz de programar la mayoría de los microcontroladores y memorias seriales EEPROM

Más detalles

Diseño de un directorio Web de diseñadores gráficos, ilustradores y fotógrafos.

Diseño de un directorio Web de diseñadores gráficos, ilustradores y fotógrafos. Universidad Nueva Esparta Facultad de Ciencias Administrativas Escuela de Administración de Diseño de un directorio Web de diseñadores gráficos, ilustradores y fotógrafos. Tutor: Lic. Beaujon, María Beatriz

Más detalles

EN / ES Airtribune Live tracking Instructions

EN / ES Airtribune Live tracking Instructions Airtribune Live tracking Instructions 1. Activate the desired service plan: Personal GSM live tracking with pilots devices Personal GSM & satellite tracking GSM tracking with rented of own tracker set.

Más detalles

EP-2906 Manual de instalación

EP-2906 Manual de instalación EP-2906 Manual de instalación Con el botón situado a la izquierda se configura en el modo de cliente y de la derecha es el modo de Punto de acceso AP (nota: El USB es sólo para la función de fuente de

Más detalles

En el presente capítulo se describe la programación del instrumento virtual y cómo

En el presente capítulo se describe la programación del instrumento virtual y cómo Capítulo 6. Instrumentación virtual En el presente capítulo se describe la programación del instrumento virtual y cómo éste controla el circuito de captura de señales, la llamada telefónica y escribe los

Más detalles

SISTEMA DE CONTROL LÓGICO PROGRAMABLE (PLC) SOBRE HARDWARE EMBEBIDO Y BAJO SISTEMA OPERATIVO LINUX

SISTEMA DE CONTROL LÓGICO PROGRAMABLE (PLC) SOBRE HARDWARE EMBEBIDO Y BAJO SISTEMA OPERATIVO LINUX SISTEMA DE CONTROL LÓGICO PROGRAMABLE (PLC) SOBRE HARDWARE EMBEBIDO Y BAJO SISTEMA OPERATIVO LINUX Autor : Gonzalo Julián Santander Palacio Director : Javier Martín Ruiz RESUMEN DEL PROYECTO El proyecto

Más detalles

Puede pagar facturas y gastos periódicos como el alquiler, el gas, la electricidad, el agua y el teléfono y también otros gastos del hogar.

Puede pagar facturas y gastos periódicos como el alquiler, el gas, la electricidad, el agua y el teléfono y también otros gastos del hogar. SPANISH Centrepay Qué es Centrepay? Centrepay es la manera sencilla de pagar sus facturas y gastos. Centrepay es un servicio de pago de facturas voluntario y gratuito para clientes de Centrelink. Utilice

Más detalles

Conectar Outlook con Exchange en local

Conectar Outlook con Exchange en local Conectar Outlook con Exchange en local Resumen Con este proceso de archivado, lo que pretendemos es guardar nuestro correo en un archivo de datos, para así poder realizar una copia de seguridad o simplemente

Más detalles

Adaptado Por: Alexander Chaverra Instructivo Configuración PPjoy Y SmartPropo Para Aerofly

Adaptado Por: Alexander Chaverra Instructivo Configuración PPjoy Y SmartPropo Para Aerofly MANUAL DE INSTALACION DE CABLE Y APLICATIVO PARA SIMULADOR. Objetivo: Explicar la forma mas eficiente de configurar el aplicativo PPJoy y Smartpropo para que funcione de una forma correcta en el PC a través

Más detalles

NETWORK SPECIFICATIONS IN OPTIMAX SYSTEM

NETWORK SPECIFICATIONS IN OPTIMAX SYSTEM NETWORK SPECIFICATIONS IN OPTIMAX SYSTEM The Optimax PA system supports audio and control data communication through Ethernet and IP networks. Since it works on levels 3 and 4 of the OSI scale, the Optimax

Más detalles

Matemáticas Muestra Cuadernillo de Examen

Matemáticas Muestra Cuadernillo de Examen Matemáticas Muestra Cuadernillo de Examen Papel-Lápiz Formato Estudiante Español Versión, Grados 3-5 Mathematics Sample Test Booklet Paper-Pencil Format Student Spanish Version, Grades 3 5 Este cuadernillo

Más detalles

Encuesta sobre utilización de la microelectrónica en la Argentina

Encuesta sobre utilización de la microelectrónica en la Argentina Encuesta sobre utilización de la microelectrónica en la Argentina Los dispositivos microelectrónicos forman el corazón de todo sistema electrónico de nuestros días. En ellos los circuitos alcanzan las

Más detalles

http://mvision.madrid.org

http://mvision.madrid.org Apoyando el desarrollo de carrera de investigadores en imagen biomédica Supporting career development of researchers in biomedical imaging QUÉ ES M+VISION? WHAT IS M+VISION? M+VISION es un programa creado

Más detalles

UTILIZACIÓN DE UN BOLÍGRAFO DÍGITAL PARA LA MEJORA DE PROCEDIMIENTOS DE CAMPO EN UNA CENTRAL NUCLEAR.

UTILIZACIÓN DE UN BOLÍGRAFO DÍGITAL PARA LA MEJORA DE PROCEDIMIENTOS DE CAMPO EN UNA CENTRAL NUCLEAR. UTILIZACIÓN DE UN BOLÍGRAFO DÍGITAL PARA LA MEJORA DE PROCEDIMIENTOS DE CAMPO EN UNA CENTRAL NUCLEAR. Autor: Ruiz Muñoz, Rafael. Director: Muñoz García, Manuel. Entidad Colaboradora: Empresarios Agrupados.

Más detalles

PHOENIX OVIPOSITOR. Introducción...2 Capacidades / Posibilidades / Ventajas...3 Expansiones / Características técnicas...4

PHOENIX OVIPOSITOR. Introducción...2 Capacidades / Posibilidades / Ventajas...3 Expansiones / Características técnicas...4 PHOENIX OVIPOSITOR Introducción...2 Capacidades / Posibilidades / Ventajas...3 Expansiones / Características técnicas...4 Introduction...5 Features / Possibilities / Advantages...6 Expansions / Technical

Más detalles

Título del Proyecto: Sistema Web de gestión de facturas electrónicas.

Título del Proyecto: Sistema Web de gestión de facturas electrónicas. Resumen Título del Proyecto: Sistema Web de gestión de facturas electrónicas. Autor: Jose Luis Saenz Soria. Director: Manuel Rojas Guerrero. Resumen En la última década se han producido muchos avances

Más detalles

Sistema de Captura Electrónica

Sistema de Captura Electrónica Sistema de Captura Electrónica Instructivo de Instalación y Configuración de Lote Server a PC Versión del Documento v2.01 INDICE INDICE... 2 Consideraciones generales de las aplicaciones... 4 Especificaciones

Más detalles

KMR SCA-05 Mounting Instructions Instrucción de Montaje Instruções de Montagem 0899.4897

KMR SCA-05 Mounting Instructions Instrucción de Montaje Instruções de Montagem 0899.4897 0899.4897 KMR SCA-05 Mounting Instructions Instrucción de Montaje Instruções de Montagem 0899.4897 KMR SCA-05 Mounting Instructions Instrucción de Montaje Instruções de Montagem The KMR SCA-05 kit is a

Más detalles

FUSIBLES CILÍNDRICOS MT PARA APLICACIONES DE ALUMBRADO MV CYLINDRICAL FUSE-LINKS FOR LIGHTING PURPOSES

FUSIBLES CILÍNDRICOS MT PARA APLICACIONES DE ALUMBRADO MV CYLINDRICAL FUSE-LINKS FOR LIGHTING PURPOSES FUSIBLES CILÍNDRICOS MT PARA APLICACIONES DE ALUMBRADO MV CYLINDRICAL FUSE-LINKS FOR LIGHTING PURPOSES DF, S.A C/. Silici, 67-69 08940 CORNELLA DEL LLOBREGAT BARCELONA (SPAIN) www.df-sa.es Telf.: +34-93

Más detalles

Documentación PopGrabber

Documentación PopGrabber Documentación PopGrabber En esta documentación se detallará el funcionamiento y configuración del programa PopGrabber. 1. Funcionamiento: Eclarsys PopGrabber es un programa que recupera e mails desde cuentas

Más detalles

SISTEMA CONTROL DE ACCESOS A EDIFICIOS MEDIANTE TARJETAS CRIPTOGRÁFICAS Y TARJETAS DE RADIOFRECUENCIA (RFID)

SISTEMA CONTROL DE ACCESOS A EDIFICIOS MEDIANTE TARJETAS CRIPTOGRÁFICAS Y TARJETAS DE RADIOFRECUENCIA (RFID) SISTEMA CONTROL DE ACCESOS A EDIFICIOS MEDIANTE TARJETAS CRIPTOGRÁFICAS Y TARJETAS DE RADIOFRECUENCIA (RFID) Alumno: Velayos Sardiña, Marta Director: Palacios Hielscher, Rafael Entidad Colaboradora: ICAI

Más detalles

La Video conferencia con Live Meeting

La Video conferencia con Live Meeting Página 1 INSTRUCCIONES PARA TRABAJAR CON LIVE MEETING.- PREVIO. Para que tenga sentido la videoconferencia es conveniente que tengamos sonido (no suele ser problemático) y que tengamos vídeo. Si el ordenador

Más detalles

Profesor(a): Ing. Miriam Cerón Brito

Profesor(a): Ing. Miriam Cerón Brito Área Académica: Informática Tema: Ambiente Windows Profesor(a): Ing. Miriam Cerón Brito Periodo: Enero Junio 2014 Abstract: In this presentation you can find basic information for know and manage the operative

Más detalles

Welcome to lesson 2 of the The Spanish Cat Home learning Spanish course.

Welcome to lesson 2 of the The Spanish Cat Home learning Spanish course. Welcome to lesson 2 of the The Spanish Cat Home learning Spanish course. Bienvenidos a la lección dos. The first part of this lesson consists in this audio lesson, and then we have some grammar for you

Más detalles

5. Metodologías de diseño de un ASIC

5. Metodologías de diseño de un ASIC 5. Metodologías de diseño de un ASIC 5.1. Introducción 5.2. Gate Arrays 5.3. Standard Cells 5.4. Seas of Gates 5.5. Dispositivos programables FPGAs Dispositivos programables El diseño de circuitos integrados

Más detalles

Diseño ergonómico o diseño centrado en el usuario?

Diseño ergonómico o diseño centrado en el usuario? Diseño ergonómico o diseño centrado en el usuario? Mercado Colin, Lucila Maestra en Diseño Industrial Posgrado en Diseño Industrial, UNAM lucila_mercadocolin@yahoo.com.mx RESUMEN En los últimos años el

Más detalles

Diseño Lógico I Facultad de Ciencias Exactas y Tecnología UNT. Introducción. Fabricantes. Elevada complejidad. Facilitar tareas de diseño

Diseño Lógico I Facultad de Ciencias Exactas y Tecnología UNT. Introducción. Fabricantes. Elevada complejidad. Facilitar tareas de diseño Introducción Fabricantes Elevada complejidad Facilitar tareas de diseño Herramientas CAD DESCRIPCIÓN GRÁFICA DEL MODELO DE DISEÑO DE SISTEMAS COMPLEJOS Proceso de diseño Simplificado Antes de realizar

Más detalles

Quick Installation Guide TU2-DVIV H/W: V1.0R

Quick Installation Guide TU2-DVIV H/W: V1.0R Quick Installation Guide TU2-DVIV H/W: V1.0R Table Table of Contents of Contents Español... 1. Antes de iniciar... 2. Cómo se instala... 1 1 3 Troubleshooting... 6 Version 06.27.2008 1. Antes de iniciar

Más detalles

Sesión 3: PL 2b: Sistema para la adquisición de señales analógicas.

Sesión 3: PL 2b: Sistema para la adquisición de señales analógicas. Sesión 3: PL 2b: Sistema para la adquisición de señales analógicas. 1 Objetivo... 3 Signal Logging Basics... 3 Configure File Scope (xpc) Blocks... 3 File Scope Usage... 4 Create File Scopes Using xpc

Más detalles

Guía de Usuario Programador USB

Guía de Usuario Programador USB Guía de Usuario Programador USB Tecnología Digital del Bajío Av. Vicente Guerrero 1003, Int. A Irapuato, Gto. Mex. C.P. 36690 Teléfono: (462) 145 35 22 www.tecdigitaldelbajio.com i Guía de Usuario, Programador

Más detalles

ADAPTACIÓN DE REAL TIME WORKSHOP AL SISTEMA OPERATIVO LINUX

ADAPTACIÓN DE REAL TIME WORKSHOP AL SISTEMA OPERATIVO LINUX ADAPTACIÓN DE REAL TIME WORKSHOP AL SISTEMA OPERATIVO LINUX Autor: Tomás Murillo, Fernando. Director: Muñoz Frías, José Daniel. Coordinador: Contreras Bárcena, David Entidad Colaboradora: ICAI Universidad

Más detalles

Introducción a ZEUS. Introducción. Curso Doctorado Sistemas Multi-agente. Zeus es una herramienta de desarrollo de SMA.

Introducción a ZEUS. Introducción. Curso Doctorado Sistemas Multi-agente. Zeus es una herramienta de desarrollo de SMA. Introducción a ZEUS Curso Doctorado Sistemas Multi-agente Introducción Zeus es una herramienta de desarrollo de SMA. 1 Introducción Está constituido fundamentalmente por 3 grupos funcionales: Biblioteca

Más detalles

ES/MS Library Vacation Hours Read Online TumbleBook Cloud Junior. TumbleBook Cloud Junior AEEL2 login Ebooks

ES/MS Library Vacation Hours Read Online TumbleBook Cloud Junior. TumbleBook Cloud Junior AEEL2 login Ebooks ES/MS Library Vacation Hours The Elementary School Middle School Library will be open during vacation. Vacation hours are from 9am to 12 noon and 1pm to 3pm. Read Online Read Online from the Lincoln Website!

Más detalles

Reproducción de una Imagen en un Monitor VGA Utilizando un FPGA

Reproducción de una Imagen en un Monitor VGA Utilizando un FPGA 7 Reproducción de una Imagen en un Monitor VGA Utilizando un FPGA Michael Alejandro Diaz Illa, Alfredo Granados Ly Facultad de Ingeniería Electrónica y Eléctrica, Universidad Nacional Mayor de San Marcos,

Más detalles

PRINTING INSTRUCTIONS

PRINTING INSTRUCTIONS PRINTING INSTRUCTIONS 1. Print the Petition form on 8½ X 11inch paper. 2. The second page (instructions for circulator) must be copied on the reverse side of the petition Instructions to print the PDF

Más detalles

SCADA BASADO EN LABVIEW PARA EL LABORATORIO DE CONTROL DE ICAI

SCADA BASADO EN LABVIEW PARA EL LABORATORIO DE CONTROL DE ICAI SCADA BASADO EN LABVIEW PARA EL LABORATORIO DE CONTROL DE ICAI Autor: Otín Marcos, Ana. Directores: Rodríguez Pecharromán, Ramón. Rodríguez Mondéjar, José Antonio. Entidad Colaboradora: ICAI Universidad

Más detalles

Manual de Usuario. Subida de imágenes a FTP ante alarma

Manual de Usuario. Subida de imágenes a FTP ante alarma Manual de Usuario Subida de imágenes a FTP ante alarma ÍNDICE 1 CONEXIÓN FÍSICA... 3 2 CONFIGURACIONES... 4 2.1 CONFIGURACIÓN DEL FTP... 4 2.2 CONFIGURACIÓN DE LA ENTRADA DE ALARMA... 7 1 CONEXIÓN FÍSICA

Más detalles

Actividad 4: Comunicación entre PLC s vía Ethernet

Actividad 4: Comunicación entre PLC s vía Ethernet Actividad 4: Comunicación entre PLC s vía Ethernet 1.- Listado de materiales: PC con Tarjeta de red 3com o similar. 2 PLC Omrom CJ1M CPU11 ETN Estos autómatas llevan integrada la tarjeta de comunicaciones

Más detalles

SALIDAS ANALÓGICAS. Adquisición de datos y acondicionamiento de la señal Tema 6

SALIDAS ANALÓGICAS. Adquisición de datos y acondicionamiento de la señal Tema 6 SALIDAS ANALÓGICAS A. Arquitectura de la salida analógica B. Uso del DAQmx Write VI C. VI, AO Multipuntos (Buffered) D. Generación del Buffer Finito E. Generación del Buffer Continuo Página 1 de 8 A. ARQUITECTURA

Más detalles

CESVA USB DRIVER. M_CUD_v0001_20130226_ESP_ENG

CESVA USB DRIVER. M_CUD_v0001_20130226_ESP_ENG CESVA USB DRIVER M_CUD_v0001_20130226_ESP_ENG CESVA USB DRIVER ESPAÑOL CONTENIDO 1. Instalación del CESVA USB Driver... 2 2. Conocer el puerto COM asignado para la comunicación con el PC... 2 2.1. Windows

Más detalles

Creando Cuentas Nuevas para Padres / Alumnos en el

Creando Cuentas Nuevas para Padres / Alumnos en el Creando Cuentas Nuevas para Padres / Alumnos en el Portal de Internet Aeries de YCJUSD El portal de Internet Aeries proporciona una manera segura para acceder a información sobre la asistencia y el progreso

Más detalles

Contents. Introduction. Aims. Software architecture. Tools. Example

Contents. Introduction. Aims. Software architecture. Tools. Example ED@CON Control Results Management Software Control with Remote Sensing Contents Introduction Aims Software architecture Tools Example Introduction Control results management software (Ed@con) is a computer

Más detalles

Learning Masters. Early: Force and Motion

Learning Masters. Early: Force and Motion Learning Masters Early: Force and Motion WhatILearned What important things did you learn in this theme? I learned that I learned that I learned that 22 Force and Motion Learning Masters How I Learned

Más detalles

Guía del usuario de KIP sobre el estado de la impresora Instalación y guía del usuario de KIP sobre el estado de la impresora

Guía del usuario de KIP sobre el estado de la impresora Instalación y guía del usuario de KIP sobre el estado de la impresora Instalación y guía del usuario de KIP sobre el estado de la impresora - 1 - Contenido 1 Introducción... 3 2 Instalación y configuración... 4 3 Funcionalidad del estado de la impresora KIP... 6 4 Uso del

Más detalles

AUTOMATIZACION. Reconocer la arquitectura y características de un PLC Diferenciar los tipos de entradas y salidas

AUTOMATIZACION. Reconocer la arquitectura y características de un PLC Diferenciar los tipos de entradas y salidas AUTOMATIZACION GUIA DE TRABAJO 2 DOCENTE: VICTOR HUGO BERNAL UNIDAD No. 3 OBJETIVO GENERAL Realizar una introducción a los controladores lógicos programables OBJETIVOS ESPECIFICOS: Reconocer la arquitectura

Más detalles

Metodologías de diseño de hardware

Metodologías de diseño de hardware Capítulo 2 Metodologías de diseño de hardware Las metodologías de diseño de hardware denominadas Top-Down, basadas en la utilización de lenguajes de descripción de hardware, han posibilitado la reducción

Más detalles

SEO Lite. Extract the file SEO.zip in the modules folder, so that module files are inside /moules/ seo/.

SEO Lite. Extract the file SEO.zip in the modules folder, so that module files are inside /moules/ seo/. SEO Lite 1. English Documentation (Ingles) 2. Documentación en Español (Spanish) Carlos Perez Fernandez Soporte 1. English Documentation (Ingles)

Más detalles

Si el acceso es mediante Telnet, se debe ejecutar de la siguiente forma:

Si el acceso es mediante Telnet, se debe ejecutar de la siguiente forma: Así se debe configurar el Hyperterminal (En Windows XP cuando se tiene un cable serial, si no, es necesario descargar el programa putty para su uso en Windows Vista ó 7): Si el acceso es mediante Telnet,

Más detalles

FIREWALL: controlando el acceso a la red

FIREWALL: controlando el acceso a la red FIREWALL: controlando el acceso a la red AUTOR: Jairo de la Fuente Vilaltella DIRECTOR: Carlos Molina Clemente Adreça electrònica: Jairo.deLaFuente@estudiants.urv.es Titulació: Enginyeria Tècnica en Informàtica

Más detalles

24-Port 10/100Mbps Web Smart PoE Switch with 4 Gigabit Ports and 2 Mini-GBIC Slots TPE-224WS

24-Port 10/100Mbps Web Smart PoE Switch with 4 Gigabit Ports and 2 Mini-GBIC Slots TPE-224WS 24-Port 10/100Mbps Web Smart PoE Switch with 4 Gigabit Ports and 2 Mini-GBIC Slots TPE-224WS ŸGuía de instalación rápida (1) ŸTroubleshooting (3) 1.12 1. Antes de iniciar Contenidos del Paquete ŸTPE-224WS

Más detalles

ENKVM-USBB. 2-Port USB KVM switch with Easy Switch and Cable. User Guide

ENKVM-USBB. 2-Port USB KVM switch with Easy Switch and Cable. User Guide ENKVM-USBB 2-Port USB KVM switch with Easy Switch and Cable User Guide i Package Contents 1 ENKVM-USBB 2-Port USB KVM Switch with Easy Switch and Cable 1 User Guide Requirements Console A VGA, SVGA, XGA,

Más detalles

Conexión inalámbrica a un CNC (con puerto Serie RS232C)

Conexión inalámbrica a un CNC (con puerto Serie RS232C) Conexión inalámbrica a un CNC (con puerto Serie RS232C) A continuación se describe como conectar un CNC con puerto serie RS232C a un PC a través de una red inalámbrica (o Ethernet) El puerto serie RS232C

Más detalles

Laboratorio 4: Uso de una FPGA

Laboratorio 4: Uso de una FPGA Laboratorio 4: Uso de una FPGA Objetivos: Conocer y comprender la estructura interna de una FPGA y su tarjeta de desarrollo que será usada en el laboratorio, y los cuidados y recomendaciones para evitar

Más detalles

Northwestern University, Feinberg School of Medicine

Northwestern University, Feinberg School of Medicine Improving Rates of Repeat Colorectal Cancer Screening Appendix Northwestern University, Feinberg School of Medicine Contents Patient Letter Included with Mailed FIT... 3 Automated Phone Call... 4 Automated

Más detalles

ANÁLISIS ESTADÍSTICO DE PORQUE LO JÓVENES EN LA ACTUALIDAD CONSUMEN ALCOHOL

ANÁLISIS ESTADÍSTICO DE PORQUE LO JÓVENES EN LA ACTUALIDAD CONSUMEN ALCOHOL ANÁLISIS ESTADÍSTICO DE PORQUE LO JÓVENES EN LA ACTUALIDAD CONSUMEN ALCOHOL Paola Andrea Mora Bermúdez, estudiante de pedagogía infantil séptimo semestre COD: 20101127 Porque toman alcohol los jóvenes?

Más detalles

Un primer acercamiento a la CMDB.

Un primer acercamiento a la CMDB. Un Versión primer 1.2 acercamiento a la CMDB. 20/07/2005 Un primer acercamiento a la CMDB. Versión 1.1 1.2 18/02/05 20/02/05 Fecha Jose Autores Carlos Manuel García Viejo García Lobato http://ars.viejolobato.com

Más detalles

ACCESS for ELLs, a Test of English Proficiency. El ACCESS de los estudiantes ELL, una prueba de conocimientos de inglés

ACCESS for ELLs, a Test of English Proficiency. El ACCESS de los estudiantes ELL, una prueba de conocimientos de inglés ACCESS for ELLs, a Test of English Proficiency El ACCESS de los estudiantes ELL, una prueba de conocimientos de inglés The ACCESS for ELLs Test This test: ê shows how well your child is learning English;

Más detalles

ASTROLUM TCS ASTROLUM TCS. ASTROLUM TCS es un sistema de telegestión para instalaciones de alumbrado público.

ASTROLUM TCS ASTROLUM TCS. ASTROLUM TCS es un sistema de telegestión para instalaciones de alumbrado público. ASTROLUM TCS ASTROLUM TCS es un sistema de telegestión para instalaciones de alumbrado público. ASTROLUM TCS ASTROLUM TCS is a telemanagement system for street lighting installations. Control automático:

Más detalles

Mini HDMI Connect Cable HDMI a Mini HDMI 19 pin HDMI to Mini HDMI 19pin Cable

Mini HDMI Connect Cable HDMI a Mini HDMI 19 pin HDMI to Mini HDMI 19pin Cable Mini HDMI Connect Cable HDMI a Mini HDMI 19 pin HDMI to Mini HDMI 19pin Cable Especificaciones comerciales: - Conecta tus dispositivos con salida Mini HDMI a Tu TV de Alta Definición - Resolución compatible

Más detalles

IE12_ CONSOLIDACIÓN Y DESARROLLO DE NUEVAS TÉCNICAS DE EVALUACIÓN INTENSIVAS ON-LINE YA IMPLEMENTADAS POR EL GIE E4

IE12_ CONSOLIDACIÓN Y DESARROLLO DE NUEVAS TÉCNICAS DE EVALUACIÓN INTENSIVAS ON-LINE YA IMPLEMENTADAS POR EL GIE E4 IE12_13-03001 - CONSOLIDACIÓN Y DESARROLLO DE NUEVAS TÉCNICAS DE EVALUACIÓN Departamento de Estructuras de la Edificación Escuela Técnica Superior de Arquitectura de Madrid Universidad Politécnica de Madrid

Más detalles

e-netcamanpr INDICE: Manual de Instalación

e-netcamanpr INDICE: Manual de Instalación INDICE: INTRODUCCIÓN... 4 ELEMENTOS DEL SISTEMA.... 5 SOFTWARE.... 5 ARQUITECTURA DE LA SOLUCIÓN SOFTWARE.... 5 INSTALACIÓN DEL SISTEMA.... 8 CÁMARA.... 8 VELOCIDAD DEL VEHICULO.... 9 MODELO ACONSEJADO....

Más detalles

HARDWARE DE SISTEMA AUTOMÁTICO DE RASTREO DE VEHÍCULOS MEDIANTE TECNOLOGÍAS GPRS Y GPS

HARDWARE DE SISTEMA AUTOMÁTICO DE RASTREO DE VEHÍCULOS MEDIANTE TECNOLOGÍAS GPRS Y GPS HARDWARE DE SISTEMA AUTOMÁTICO DE RASTREO DE VEHÍCULOS MEDIANTE TECNOLOGÍAS GPRS Y GPS Ing. Javier A. Garabello Facultad Regional Villa María UTN Av. Universidad 450 Tel: 0353-4537500 javiergarabello@hotmail.com

Más detalles

Práctica de laboratorio 3.1.5 Configuración de una interfaz serial

Práctica de laboratorio 3.1.5 Configuración de una interfaz serial Práctica de laboratorio 3.1.5 Configuración de una interfaz serial Objetivo Configurar una interfaz serial en cada uno de los dos routers para que se puedan comunicar entre sí. Información básica / Preparación

Más detalles

SISTEMA DE GESTIÓN DE RECIBOS

SISTEMA DE GESTIÓN DE RECIBOS UNIVERSIDAD PONTIFICIA COMILLAS ESCUELA TÉCNICA SUPERIOR DE INGENIERÍA (ICAI) INGENIERO TÉCNICO EN INFORMÁTICA DE GESTIÓN PROYECTO FIN DE CARRERA SISTEMA DE GESTIÓN DE RECIBOS AUTOR: EMILIO DE DIEGO BABARRO

Más detalles

www.totalspanishsimulator.com

www.totalspanishsimulator.com I ) Instalación / Installation Pg. 2 II ) Conexión del cableado / Plug in the connectors Pg. 4 III ) Cambiar Posición Imán / Change Magnet Position Pg. 6 IV ) Configuración de Software Pg. 7 IV ) Software

Más detalles

GARAGE DOOR OPENER CONNECTIVITY HUB QUICK START GUIDE

GARAGE DOOR OPENER CONNECTIVITY HUB QUICK START GUIDE GARAGE DOOR OPENER CONNECTIVITY HUB QUICK START GUIDE Thank you for purchasing a Craftsman garage door opener Connectivity Hub enabled with AssureLink technology. Once you have created your account and

Más detalles

MANUAL EASYCHAIR. https://www.easychair.org/account/signin.cgi?conf=cnicc2013

MANUAL EASYCHAIR. https://www.easychair.org/account/signin.cgi?conf=cnicc2013 MANUAL EASYCHAIR La URL para enviar su artículo al congreso es: https://www.easychair.org/account/signin.cgi?conf=cnicc2013 Donde aparece la siguiente pantalla: En este punto hay dos opciones: A) Teclear

Más detalles

LABORATORIO DE CONTROL POR COMPUTADOR 4º - INGENIERIA DE TELECOMUNICACION

LABORATORIO DE CONTROL POR COMPUTADOR 4º - INGENIERIA DE TELECOMUNICACION PRACTICA 1. LABVIEW. TARJETA OBJETIVOS Que el alumno se familiarice con el entorno de trabajo: Por un lado con las conexiones posibles entre el sistema y computador, y por otro lado, con el entorno del

Más detalles

10 En este caso indica la dirección GPIB del instrumento.

10 En este caso indica la dirección GPIB del instrumento. Práctica: Manejo de intrumentos a tavés del bus GPIB. Utilización de drivers de instrumentos, funciones básicas GPIB. Utilización de sesiones VISA (Virtual Instrument Software Architecture). En esta práctiva

Más detalles

Práctica de laboratorio 7.2.9 Balanceo de cargas entre varias rutas

Práctica de laboratorio 7.2.9 Balanceo de cargas entre varias rutas Práctica de laboratorio 7.2.9 Balanceo de cargas entre varias rutas Objetivo Configurar el balanceo de cargas entre varias rutas. Observar el proceso de balanceo de carga. Información básica / Preparación

Más detalles

SCT3000 95. Software para la calibración de transductores de fuerza. Versión 3.5. Microtest S.A. microtes@arrakis.es

SCT3000 95. Software para la calibración de transductores de fuerza. Versión 3.5. Microtest S.A. microtes@arrakis.es SCT3000 95 Versión 3.5 Software para la calibración de transductores de fuerza. Microtest S.A. microtes@arrakis.es Introducción El programa SCT3000 95, es un sistema diseñado para la calibración automática

Más detalles

Manual de Arduino Wifly Shield

Manual de Arduino Wifly Shield Manual de Arduino Wifly Shield Material necesario: Arduino UNO. Wifly shield Software requerido en la PC: Arduino IDE v.1.0.1 Librería Wifly Alpha2 Preparando el hardware: Como se puede ver 4 LEDs parpadean

Más detalles

Uso del simulador Modelsim

Uso del simulador Modelsim Introducción al laboratorio: Uso del simulador Modelsim Diseño y Simulación de Circuitos Electrónicos por Asistido por Computador Ingeniería Técnica Industrial Electrónica Modelsim es un software que administra

Más detalles

Contratación e Integración de Personal

Contratación e Integración de Personal Contratación e Integración de Personal Bizagi Suite Contratación e Integración de Personal 1 Tabla de Contenido Contratación e Integración... 2 Elementos del proceso... 5 Viene de Selección y Reclutamiento?...

Más detalles