EXAMEN FINAL (1ª CONV) APELLIDOS Y NOMBRE:

Tamaño: px
Comenzar la demostración a partir de la página:

Download "EXAMEN FINAL (1ª CONV) APELLIDOS Y NOMBRE:"

Transcripción

1 MICROPROCESADORES EXAMEN FINAL (1ª CONV) APELLIDOS Y NOMBRE: 1.- A continuación se muestra un trozo de un programa que contiene una subrutina en la que se han etiquetado algunas de sus líneas. Se sabe que la direcciones de memoria donde se ubican las instrucciones con las etiquetas M1 y M2 son la $020F3A y la $4F30A0 respectivamente y que el valor inicial del puntero de pila es A7=$FFF Se pide: a) el contenido de la PILA desde que se ejecuta la instrucción con la etiqueta M0 y hasta que se ejecute la instrucción con la etiqueta DEV1. b) determine el valor final de la variable RES y describa que operación realiza el código descrito. RES: DS.W 1... M0: #4,-(A7) M1: ADDA.L #2,A7 NOP... : CMPI.W BEQ SUB.W #1,4(A7) DEV1 4(A7),D0 #1,D0 D0,-(A7) M2: RES,D0 MUL ADDA.L DEV1: (A7),D0 D0,RES #2,A7 #1,RES 2.- Un sistema basado en el MC68000 desea tener actualizada, cada segundo, cierta información de tamaño byte en la dirección de memoria DATA. Dicha información procede de un dispositivo externo que se comunica con el sistema a través de la DUART. Dicho sistema envía un byte de información al sistema como respuesta del envío del carácter CR desde el sistema al dispositivo externo. Se desea que toda la gestión de la actualización: determinación de que ha transcurrido 1 segundo, envío del carácter CR y recepción de la información que se almacena en DATA sea realizada mediante interrupciones, suponiendo que la dirección donde se ubica la VIA es la BASE_VIA y utiliza el autovector 3 y la dirección donde se ubica la DUART es BASE_DUART. Desarrolle una rutina de configuración y las rutinas de interrupcion, debidamente instaladas, que realizan el proceso teniendo en cuenta que, la señal de reloj de la VIA es de 1Mhz y que la

2 comunicación se realiza a 9600bps, 8 bits de datos, sin paridad y 1 bit de stop. 3- En la siguiente figura se muestra un registro de desplazamiento de 16 bits cuya salida serie, O15, señal de reloj, clk, y entrada de carga, L, se han conectado a los pines PB0,PB1 y PB2 de un microcontrolador AT con cristal de 1Mhz. Se desea desarrollar un programa que permita la lectura del dato almacenado en el registro externo y su almacenamiento en los registros R0 y R1 del microcontrolador. En concreto, el registro R1 contendrá el byte más significativo y el R0 el menos significativo. Para poder acceder al dato del registro externo R el microcontrolador debe seguir la siguiente secuencia: De forma externa, la señal L pasará de 0 a 1, lo que implica la carga de un dato en el registro R externo. El microcontrolador escuchará está línea y no tomará ninguna acción hasta que L vuelva a valer 0. Transcurrido el pulso en L, el microcontrolador deberá generar 16 pulsos de reloj, tal y como muestra la figura. En el nivel alto del pulso de reloj, se deberá leer el bit que aparece por la salida O15 y almacenarlo en la posición que corresponda de los registros R0 o R1. Una vez finalizada la lectura de los dieciséis bits, el microcontrolador esperará la activación de un nuevo pulso en L para repetir el proceso. La duración del nivel alto y bajo de la señal de reloj que genera el microcontrolador debe ser de al menos 1ms para cada nivel. 4.- Desarrolla brevemente uno de los siguientes apartados a elegir (extensión máxima recomendada 2 carillas) Pipelling Memoria caché totalmente asociativa Memoria virtual Solución propuesta al ejercicio 1.- a) La PILA es una porción de memoria que tiene un modo de funcionamiento LIFO controlado por el registro A7 o puntero de pila (SP). Para el MC68000 el puntero de pila apunta a la última palabra almacenada en la PILA, se debe decrementar cuando se introducen datos en ella (modo de direccionamiento con predecremento) y se debe incrementar para extraerlos (modo de direccionamiento con post incremento). Cuando la ejecución alcanza la instrucción con etiqueta M0, el registro A7 (puntero de pila) tiene el valor $FFF0 1000, y por tanto apunta a una palabra almacenada en PILA sobre la que no mostramos el mayor interés. La ejecución de la instrucción:

3 M0: #4,-(A7) Introduce la constante 4 de tamaño palabra en la PILA (obsérvese que el puntero de pila se decrementa dos unidades de forma previa para no sobrescribir el último dato almacenado). La ejecución de la siguiente instrucción: Provoca, primero, que el contador de programa (registro PC con tamaño L) se introduzca en la PILA y, segundo, la bifurcación a la dirección de memoria con la etiqueta. Como ya es conocido, el PC contiene la dirección de memoria de la siguiente instrucción a ejecutar, por consiguiente, cuando se está ejecutando rutina, PC contiene la dirección de la instrucción con etiqueta M1 y, según el enunciado, ésta es $020F3A. Por tanto, tras la ejecución de rutina, la PILA contiene el dato constante, 4, en tamaño palabra, y la dirección de la etiqueta M1 en tamaño L. El puntero de pila apunta a la palabra más significativa de la dirección de retorno. A partir de este momento el MC68000 ejecuta las instrucciones contenidas en la rutina. Obsérvese que dentro de ésta se hacen nuevas llamadas a la propia rutina (recursión) lo que implica un crecimiento de la PILA en la que se almacenan nuevas constantes y nuevas direcciones de retorno. Las primeras instrucciones de dicha rutina utilizan el dato almacenado en la posición 4(A7). Según la gráfica anterior, éste se corresponde con la constante que fue introducida en la PILA antes de la llamada a la subrutina. Según el código, si dicha constantes fuese 1 se procedería a salir de la subrutina y al almacenamiento de un 1 en la variable RES. : CMPI.W BEQ... DEV1: #1,4(A7) DEV1 #1,RES En el caso de que el dato almacenado en 4(A7) sea distinto de 1, la rutina lo decrementa en una unidad, lo introduce en la PILA y se vuelve a invocar a la propia rutina. En este caso, la dirección de retorno asociada a esta nueva llamada se corresponde con la dirección de memoria que contiene la instrucción etiquetada como M2, o sea, $4F30A0 SUB.W 4(A7),D0 #1,D0 D0,-(A7) El MC68000 estará re-entrado en la mientras que el dato que se introduzca en la PILA sea distinto de 1. En la siguiente figura se puede ver el contenido de la PILA para la secuencia de instrucciones ejecutadas hasta la instrucción con la etiqueta DEV1.

4 La ejecución de DEV1: #1,RES Provoca que la variable RES se inicialice a 1 y que la instrucción extraiga una palabra larga de la PILA con la que se restaura el registro PC y, en consecuencia, la ejecución de instrucciones a partir de la dirección contenida en dicha palabra larga ( la dirección asociada a la etiqueta M2). M2: RES,D0 MUL ADDA.L (A7),D0 D0,RES #2,A7 Cuando comienza la ejecución de la primera instrucción del bloque anterior, el puntero de pila (A7) apunta al dato almacenado tras la dirección de retorno. Por consiguiente, dicho bloque coge la variable RES y la multiplica por el número almacenado en PILA. A continuación se incrementa el puntero de PILA dos unidades para que apunte a la siguiente elemento almacenado en la PILA. La ejecución del siguiente, vuelve a extraer una palabra larga de la PILA para su

5 almacenamiento en el PC. Dicha palabra larga vuelve a ser $004f 30A0 por lo que se sigue ejecutando el mismo bloque anterior. El proceso se repetirá hasta que de la PILA se extraiga la dirección de retorno $0002 0F3A correspondiente a la instrucción situada debajo de la primera rutina. b) Siguiendo el proceso recursivo, se observa que la variable RES se multiplica por cada uno de los valores decrementados que se han ido almacenando en la PILA, salvo el último. Por tanto RES= 3*2*1= 6. Es obvio que la rutina calcula el factorial de N-1! Donde N es el primer valor depositado en PILA. Solución propuesta al ejercicio 2.- En primer lugar se desarrollará una rutina de configuración de la VIA. De ésta se usará el temporizador 1 en modo aestable para que genere interrupciones de forma periódica. Debido a que la señal de reloj y a que el contenido máximo del temporizador no alcanza para la generación de interrupciones cada segundo, se utilizará un periodo menor, por ejemplo, cada 10ms y, después, en la propia rutina de excepción, mediante el uso de una variable auxiliar, que se incrementa en cada interrupción, se invocará la acción correspondiente cuando dicha variable alcance el valor de 100. En segundo lugar, la rutina de configuración de la DUART requiere el establecimiento de los parámetros de la comunicación en los registros MR1A,MR2A y CSRA, la habilitación del receptor, del transmisor, de la generación de interrupciones por dato recibido y la configuración del número de vector de interrupción de usuario. En tercer lugar, instalación de las rutinas de interrupción. La VIA tiene asociado el autovector 3 que se encuentra en la dirección de memoria 108. La DUART tiene el vector de usuario 64 y, por consiguiente, la dirección de memoria asociada en la tabla de vectores 256. En último lugar, la rutina principal que invoca a las rutinas de configuración de la VIA y de la DUART..ORG 108 DC.L interupcion_via.org 256 DC.L interrupcion_duart.org $1000 main: CLR.B aux config_via config_duart fin: BRA fin config_via: #$40,BASE_VIA_ACR ;Configura el T1 en modo aestable e ;inhabilita el pin PB7 #10000,D0 D0,BASE_VIA_T1CL LSR.W #8,D0 D0,BASE_VIA_TICH ;Escribe en el registro T1C y en el ;registro TIL (latch). Se alcanza el final ;de cuenta a 10000*1us = 10ms #$C0,BASE_VIA_IER ;Habilita la generación de interrupciones

6 ;de la VIA. config_duart: #$15,BASE_DUART_CRA ;Comando MR reset pointer y ;habilitación de TX y RX #$13,BASE_DUART_MR1A; 8 bits de datos, sin paridad e ;interrupción por dato recibido. #7,BASE_DUART_MR2A ;1 bit de stop. #$BB,BASE_DUART_CSRA;Configuración de 9600 bps para el ;transmisor y para el receptor. #2,IMR ;Habilitación de interrupción por dato ;recibido. #64,IVR ;Vector de interrupción 64. interrupcion_duart: RTE BASE_DUART_RHR,DATA; Mueve el contenido recibido en la ;DUART en la variable de memoria ;DATA. interrupcion_via: BASE_VIA_TICL,D0;Lectura del byte bajo del registro T1C para ;poner a 0 el bit T1 del registro IFR. Borrado del ;flag. ADDI.B #1,aux ;Incrementa la variable aux cada 10ms. Cuando CMPI.B #100,aux ;alcanza el valor 100, ha transcurrido 1segundo BRNE fin_int_via fin_int_via: CLR.B RTE aux #CR,BASE_DUART_THR ;Envía el código CR al registro THR ;de la DUART y, por consiguiente, se inicia la ;transmisión. aux: DS.B 1 DATA: DS.B 1 Solución propuesta al ejercicio 3.-.include "2313def.inc" temp=r16 maskh=r17 maskl=r18 cont=r19

7 main: ldi temp,0x2 out ddrb,temp ;pone el pin PB2 como salida. cbi portb,1 ;pone a 0 la salida de reloj clk ldi temp,$2 out tccr0,temp ;configura el prescales en ck/8 ldi temp, ; out tcnt0,temp ;carga en el TCNT0. Esto provoca ;T0V0 cada 125*8*1us=1ms bucle: ldi maskh,$80 clr maskl ldi cont,16 _1: sbis pinb,2 rjmp _1 ;espera a que se produzca un pulso en L _2: sbic pinb,2 rjmp _2 ;espera a que L se ponga a 0 ldi temp, ; out tcnt0,temp ;carga en el TCNT0. Esto provoca ;T0V0 cada 125*8*1us=1ms bucle1: in temp,tifr out tifr,temp ;pone a 0 el TOV0 si éste estuviera activo. sbi portb,1 ;pone a 1 la señal de reloj buclein: in temp,tifr ;espera_1ms sbrs temp,tov0 rjmp buclein sbis pinb,0 ;lee el bit de entrada si es 1 activamos bit en ri rjmp salto ;sino saltamos a desplazar el contenido de mask or r1,maskh ;hacemos or de r0,r1 con maskh,maskl or r0,maskl salto: lsr maskh ;desplazamos a la derecha el contenido de mask ror maskl dec cont cbi portb,1 ;puesta a 0 de clk ldi temp, ; out tcnt0,temp ;carga en el TCNT0. Esto provoca in temp,tifr out tifr,temp ;pone a 0 el TOV0 si éste estuviera activo. ;T0V0 cada 125*8*1us=1ms espera_0: in temp,tifr ;espera_1ms sbrs temp,tov0 rjmp espera_0 cpi brne rjmp cont,0 bucle1 bucle Solución propuesta al ejercicio 4.- Ver apuntes

MICROPROCESADORES. Ejercicio de evaluación continua: E/S del MC68000

MICROPROCESADORES. Ejercicio de evaluación continua: E/S del MC68000 MICROPROCESADORES Ejercicio de evaluación continua: E/S del MC68000 Un determinado sistema central dispone de un enlace vía radio con diferentes estaciones remotas con los que intercambia cierta información.

Más detalles

Boletín 3 - Estructura de computadores Microcontrolador AVR 1. Dirección Contenido Registro Contenido $200 $08 R0 $00 $201 $03 R1 $FF $202 $01 YH $02

Boletín 3 - Estructura de computadores Microcontrolador AVR 1. Dirección Contenido Registro Contenido $200 $08 R0 $00 $201 $03 R1 $FF $202 $01 YH $02 Boletín 3 - Estructura de computadores Microcontrolador AVR 1 Problema 1.- Considere la siguiente situación inicial, donde se indica en hexadecimal el contenido de ciertos registros y direcciones de memoria

Más detalles

Boletín 3 - Estructura de computadores Microcontrolador AVR 1. Dirección Contenido Registro Contenido $200 $08 R0 $00 $201 $03 R1 $FF $202 $01 YH $02

Boletín 3 - Estructura de computadores Microcontrolador AVR 1. Dirección Contenido Registro Contenido $200 $08 R0 $00 $201 $03 R1 $FF $202 $01 YH $02 Boletín 3 - Estructura de computadores Microcontrolador AVR 1 Problema 1.- Considere la siguiente situación inicial, donde se indica en hexadecimal el contenido de ciertos registros y direcciones de memoria

Más detalles

Grado en Ingeniería Informática - Ingeniería del Software Estructura de Computadores - Prueba 2 - Junio de 2011

Grado en Ingeniería Informática - Ingeniería del Software Estructura de Computadores - Prueba 2 - Junio de 2011 Apellidos, Nombre: Grado en Ingeniería Informática - Ingeniería del Software Estructura de Computadores - Prueba 2 - Junio de 2011 Problema 1. Realice un programa que calcule la cantidad de números negativos

Más detalles

Problema 1.- Determine qué instrucciones permiten leer y escribir datos en la zona de registros de entrada/salida.

Problema 1.- Determine qué instrucciones permiten leer y escribir datos en la zona de registros de entrada/salida. Problema 1.- Determine qué instrucciones permiten leer y escribir datos en la zona de registros de entrada/salida. Solución propueta: Atendiendo, exclusivamente, a las instrucciones de transferencia o

Más detalles

TEMA 1: Conceptos generales. 1.- Representa los primeros 16 números decimales (del 0 al 15) usando codificación binaria y hexadecimal.

TEMA 1: Conceptos generales. 1.- Representa los primeros 16 números decimales (del 0 al 15) usando codificación binaria y hexadecimal. TEMA : Conceptos generales.- Representa los primeros 6 números decimales (del al 5) usando codificación binaria y hexadecimal. = 2 = $ 4 = 2 = $4 8 = 2 = $6 2 = 2 = $C = 2 = $ 5 = 2 = $5 9 = 2 = $9 3 =

Más detalles

Se trata de generar una señal PWM que salga por PB7, el cual está vinculado al canal 2 del TIM4. Por lo tanto la configuración será como sigue:

Se trata de generar una señal PWM que salga por PB7, el cual está vinculado al canal 2 del TIM4. Por lo tanto la configuración será como sigue: No se permiten ni libros, ni apuntes, ni calculadoras programables. Sólo se permite el manual del microcontrolador Se contestará sólo en el espacio reservado al efecto, pudiendo utilizar la cara posterior

Más detalles

Ensamblador martes, 9 de septiembre de :11

Ensamblador martes, 9 de septiembre de :11 Ensamblador martes, 9 de septiembre de 2014 18:11 Estructura de computadores página 1 Estructura de computadores página 2 Estructura de computadores página 3 12:06 Estructura de computadores página 4 Estructura

Más detalles

PROBLEMA 1 (2.5 puntos): SOLUCIÓN

PROBLEMA 1 (2.5 puntos): SOLUCIÓN PROBLEMA 1 (2.5 puntos): SOLUCIÓN Diseñe un microprocesador con las siguientes características: 16KB de memoria de datos. 8KB de memoria de programa. Juego de 128 instrucciones de 16 bits. Bus de datos

Más detalles

UNIVERSIDAD CARLOS III DE MADRID DEPARTAMENTO DE INFORMÁTICA GRADO EN INGENIERÍA INFORMÁTICA. ESTRUCTURA DE COMPUTADORES

UNIVERSIDAD CARLOS III DE MADRID DEPARTAMENTO DE INFORMÁTICA GRADO EN INGENIERÍA INFORMÁTICA. ESTRUCTURA DE COMPUTADORES Ejercicio 1 Los siguientes números se encuentran representados en complemento a dos utilizando 6 bits. Indique su correspondiente valor decimal: a) 010011 b) 100111 Ejercicio 3 Dada la siguiente expresión

Más detalles

TEMA 3: Entradas/Salidas. Bibliografía

TEMA 3: Entradas/Salidas. Bibliografía TEMA 3: Entradas/Salidas Bibliografía SISTEMAS DIGITALES: Ingeniería de los microprocesadores 68. Antonio García Guerra y Enrique Fenoll Comés Capítulos 7 y 8 LA FAMILIA DEL MC68 Julio Septién et all Capítulos

Más detalles

Registros de direcciones de 32 bits A0 a A7 (A7 puntero de pila SP)

Registros de direcciones de 32 bits A0 a A7 (A7 puntero de pila SP) ARQUITECTURA Y PROGRAMACIÓN DEL MC68000 MC68000 Bus datos (16) Bus direcciones (24) Registro de datos (8) de 32 bits Registros direcciones (8) de 32 bits Operandos Regular Gran ortogonalidad D0..D15 A1..A23,

Más detalles

TEMA 8 GESTION DE LAS INTERRUPCIONES

TEMA 8 GESTION DE LAS INTERRUPCIONES TEMA 8 GESTION DE LAS INTERRUPCIONES CURSO 2010/2011 GESTION DE LAS INTERRUPCIONES 1. Introducción 2. Latencias de las Interrupciones 3. Tabla de Vectores de Interrupción 4. Latch de Interrupciones (IRPTL)

Más detalles

Tema 3 SUBRUTINAS. Estructura de Computadores OCW_2015 Nekane Azkona Estefanía

Tema 3 SUBRUTINAS. Estructura de Computadores OCW_2015 Nekane Azkona Estefanía Tema 3 SUBRUTINAS ÍNDICE Definición e instrucciones básicas Soporte para el tratamiento de subrutinas (ejecución de la subrutina y gestión del bloque de activación) Interrupciones vs llamadas a procedimiento

Más detalles

CS2: Unidad de datos (UD)

CS2: Unidad de datos (UD) S2: Unidad de datos (UD) ambios respecto al S1: Ancho datos: 12 bits Ancho direcciones: bits Rotación del registro A con carry LS, DS, IS TP, TIR, TSP W4, W, R 4 SP[] MAR[] IR 7-0 IR[12] IR 11- P[] DB

Más detalles

Primera pregunta (1 punto) Segunda pregunta (1 punto) Tercera pregunta (2 puntos) PRIMERA PARTE (SIN LIBROS) Duración: 45 minutos

Primera pregunta (1 punto) Segunda pregunta (1 punto) Tercera pregunta (2 puntos) PRIMERA PARTE (SIN LIBROS) Duración: 45 minutos Duración: 45 minutos PRIMERA PARTE (SIN LIBROS) Responda en la hoja de respuestas que se adjunta Primera pregunta (1 punto) Cuáles son las dos razones principales por las que Algorítmez, pese a tener sólo

Más detalles

Arquitectura de Computadores

Arquitectura de Computadores Arquitectura de Computadores 1. Introducción 2. La CPU 3. Lenguaje Máquina 4. La Memoria 5. Sistemas de Entrada/Salida 6. Segmentación (Pipeline) 7. Memoria Caché 8. Arquitecturas RISC Arquitectura de

Más detalles

9. Lenguaje ensamblador

9. Lenguaje ensamblador 9. Lenguaje ensamblador Lenguaje máquina: un programa o secuencia de instrucciones viene dado por una secuencia de códigos binarios. Lenguaje ensamblador: secuencia lógica de sentencias pertenecientes

Más detalles

PRÁCTICA N 5 LABORATORIO DE SISTEMAS MICROPROCESADOS

PRÁCTICA N 5 LABORATORIO DE SISTEMAS MICROPROCESADOS FACULTAD DE INGENIERÍA ELÉCTRICA Y ELECTRÓNICA Carrera de Ingeniería Electrónica y Control Carrera de Ingeniería Electrónica y Telecomunicaciones Carrera de Ingeniería Electrónica y Redes de Información

Más detalles

Ejercicios del tema 4. El procesador

Ejercicios del tema 4. El procesador Ejercicios del tema 4. El procesador Estructura del procesador elemental WepSIM Address Bus Data Bus Control Bus C0 Ta Internal Bus RA RB RC LC MAR T9 Memory MRdy BE ADDR DATA R W A31-A0 BE3-BE0 D31-D0

Más detalles

APELLIDOS NOMBRE GRADO SOLUCIÓN. Figura 1

APELLIDOS NOMBRE GRADO SOLUCIÓN. Figura 1 DECODIFICADOR SISTEMAS DIGITALES BASADOS EN MICROPROCESADORES 12 febrero de 2018 APELLIDOS NOMBRE GRADO SOLUCIÓN Ejercicio 1 (40 minutos 4 puntos) La siguiente figura muestra un diagrama de bloques simplificado

Más detalles

PRÁCTICA 2: SISTEMAS DIGITALES

PRÁCTICA 2: SISTEMAS DIGITALES 1 OBJETIVOS: PRÁCTICA 2: SISTEMAS DIGITALES 1.1 Manejo de un software de simulación 1.2 Desarrollo de un Sistema Digital sencillo con componentes reales. 2 ESTUDIO TEÓRICO: Se pretender realizar varios

Más detalles

CU4 PROYECTO CU4 DISEÑO EN VHDL DE MICROPROCESADOR ELEMENTAL CON UN REGISTRO INTERNO DE DATOS PARA REALIZAR OPERACIONES INTERNAS BÁSICAS.

CU4 PROYECTO CU4 DISEÑO EN VHDL DE MICROPROCESADOR ELEMENTAL CON UN REGISTRO INTERNO DE DATOS PARA REALIZAR OPERACIONES INTERNAS BÁSICAS. CU4 PROYECTO CU4 DISEÑO EN VHDL DE MICROPROCESADOR ELEMENTAL CON UN REGISTRO INTERNO DE DATOS PARA REALIZAR OPERACIONES INTERNAS BÁSICAS. SE AGREGA A PARTIR DE ESTE PROYECTO, UN DIVISOR DE FRECUENCIAS

Más detalles

MICROCONTROLADORES. 1. El PIC 16F84A es un microcontrolador de: a) 16 bits b) 8 bits c) 4 bits d) 32 bits e) 64 bits

MICROCONTROLADORES. 1. El PIC 16F84A es un microcontrolador de: a) 16 bits b) 8 bits c) 4 bits d) 32 bits e) 64 bits 1. El PIC 16F84A es un microcontrolador de: a) 16 bits b) 8 bits c) 4 bits d) 32 bits e) 64 bits MICROCONTROLADORES 2. La memoria de programa del PIC 16F84A es de tipo: a) ROM b) OTP c) RAM d) EPROM e)

Más detalles

Interrupciones y entrada/salida

Interrupciones y entrada/salida Departamento de Automática 1 / 15 Índice Mapa de entrada/salida Instrucciones IN/OUT Modo de operación Registros Habilitación de interrupciones Marco de interrupción Procesamiento de una interrupción 2

Más detalles

Estructura de Computadores. Problemas de Instrucciones y Direccionamientos

Estructura de Computadores. Problemas de Instrucciones y Direccionamientos Estructura de Computadores. Problemas de Instrucciones y Direccionamientos Departamento de Arquitectura y Tecnología de Sistemas Informáticos Octubre 2009 1. Sea un computador con palabras y direcciones

Más detalles

SISTEMAS OPERATIVOS Arquitectura de computadores

SISTEMAS OPERATIVOS Arquitectura de computadores SISTEMAS OPERATIVOS Arquitectura de computadores Erwin Meza Vega emezav@unicauca.edu.co Esta presentación tiene por objetivo mostrar los conceptos generales de la arquitectura de los computadores, necesarios

Más detalles

ESTRUCTURA Y TECNOLOGÍA A DE COMPUTADORES I. Tema X Modos de Direccionamientos

ESTRUCTURA Y TECNOLOGÍA A DE COMPUTADORES I. Tema X Modos de Direccionamientos ESTRUCTURA Y TECNOLOGÍA A DE COMPUTADORES I Tema X Modos de Direccionamientos TEMA 10. Modos de direccionamiento 10.1 Justificación de los modos de direccionamiento 10.2 Descripción de los modos de direccionamiento

Más detalles

Primer Microprocesador: 4004, Intel, año bits de ancho de palabra de datos Intel 8 bits dir. 64K Z80

Primer Microprocesador: 4004, Intel, año bits de ancho de palabra de datos Intel 8 bits dir. 64K Z80 2-1 Primer Microprocesador: 4004, Intel, año 1971. 4 bits de ancho de palabra de datos. 1971 Intel 4 bits dir. 4K 1972 Intel 8 bits dir. 16K 1973 Intel 8 bits dir. 64K 1977 Intel 8 bits dir. 64K 1978 Intel

Más detalles

Arquitectura y Periféricos

Arquitectura y Periféricos Arquitectura y Periféricos Departamento de Electrónica Fundación San Valero Características fundamentales: Arquitectura RISC avanzada Harvard: 16- bit con 8- bit de datos. 77 instrucciones Desde 18 a 80

Más detalles

PRÁCTICA N 5 LABORATORIO DE SISTEMAS MICROPROCESADOS

PRÁCTICA N 5 LABORATORIO DE SISTEMAS MICROPROCESADOS FACULTAD DE INGENIERÍA ELÉCTRICA Y ELECTRÓNICA Carrera de Ingeniería Electrónica y Control Carrera de Ingeniería Electrónica y Telecomunicaciones Carrera de Ingeniería Electrónica y Redes de Información

Más detalles

INSTRUCCIONES CONDICIONALES

INSTRUCCIONES CONDICIONALES INSTRUCCIONES CONDICIONALES Objetivos Los objetivos que se pretenden alcanzar en este recurso son los siguientes: Aprender cuáles son los mecanismos de ejecución de código no secuencial. Definir las instrucciones

Más detalles

Tema 4: Microcontrolador AT90S2313

Tema 4: Microcontrolador AT90S2313 Tema 4: - Descripción externa - Arquitectura interna - Organización de memoria - Registros de propósito general - Registros de entrada/salida (SREG,SPL) - Modos de direccionamiento - Juego de instrucciones

Más detalles

Memoria virtual Ejercicios resueltos

Memoria virtual Ejercicios resueltos Memoria virtual Ejercicios resueltos Ejercicio 1. Sea un computador de 20 bits con memoria virtual paginada con páginas de 1 KB y un total de memoria física de 256 KB. Se pide, de forma razonada y breve:

Más detalles

Procesador: Problemas

Procesador: Problemas Procesador: Problemas Ejercicio 1 Determine el mínimo tiempo del ciclo de reloj del computador, para cada una de las siguientes posibilidades de su Unidad de Control: a) cableada. Una UC cableada dura

Más detalles

Capítulo 3. El Software

Capítulo 3. El Software Capítulo 3 El Software El software del sistema se compone de dos partes: el programa de microcontrolador y el programa de la PC. Como se indicó en el capítulo anterior, el microcontrolador lleva a cabo

Más detalles

Arquitectura Interna del 8088

Arquitectura Interna del 8088 Arquitectura Interna del 8088 Intel diseñó el 8088/8086 para realizar al mismo tiempo las principales funciones internas de transferencia de datos y búsqueda de instrucciones. Para conseguir esto, el 8088

Más detalles

Relación de Problemas I

Relación de Problemas I Relación de Problemas I 352) $1'5e6 52/'È1 $5$1'$ 1. Realizar el cálculo del tiempo que transcurre durante la ejecución del bloque de instrucciones sombreado, en función del contenido de los registros

Más detalles

INSTRUMENTACIÓN AVANZADA Departamento de Ingeniería Eléctrica y Electromecánica Facultad de Ingeniería Universidad Nacional de Mar del Plata

INSTRUMENTACIÓN AVANZADA Departamento de Ingeniería Eléctrica y Electromecánica Facultad de Ingeniería Universidad Nacional de Mar del Plata Muestreo de señales analógicas Principal requerimiento que surge al muestrear una señal continua: Definir el periodo de muestreo con suficiente exactitud. Ajustar el mismo a un valor adecuado de acuerdo

Más detalles

El Stack y Subrutinas

El Stack y Subrutinas El Stack y Subrutinas El STACK El Stack es un grupo de localidades de memoria de lecto-escritura que son usadas para almacenar el contenido de registros y direcciones de memoria temporalmente durante la

Más detalles

2N 2N+1 2N 2N+1 20 E4 32 3A 0F 1A E4 02 F0 FF 2N 2N+1 2N 2N+1 20 E4 32 3A 0F 1A E4 02 F0 FF. Primera posición de la RAM $004000

2N 2N+1 2N 2N+1 20 E4 32 3A 0F 1A E4 02 F0 FF 2N 2N+1 2N 2N+1 20 E4 32 3A 0F 1A E4 02 F0 FF. Primera posición de la RAM $004000 Problema 1.- Supuesto un sistema basado en el MC68000, con una memoria ROM de 8Kwords y una memoria RAM para datos de 4Kwords contigua a la memoria ROM. Señala como quedarían afectadas las posiciones de

Más detalles

Tema 7. Entrada / Salida

Tema 7. Entrada / Salida Tema 7 Entrada / Salida Problemática Entrada/Salida Elementos claves en un computador: Procesador, memoria y módulos de E/S Cada módulo de E/S se conecta al bus del sistema y controla a uno o a más periféricos

Más detalles

ESTRUCTURA Y TECNOLOGÍA DE COMPUTADORES II BOLETÍN 4 MICROPROCESADOR MC 68000

ESTRUCTURA Y TECNOLOGÍA DE COMPUTADORES II BOLETÍN 4 MICROPROCESADOR MC 68000 1.- Partiendo de la siguiente situación inicial, donde se indica el contenido de ciertos registros y direcciones de memoria: Dirección Contenido Registro Contenido 800 08 A0 0000 0802 801 03 A1 0000 0804

Más detalles

Solución 1. Solución usando un 8255 para las entradas y salidas, un 8254 para la temporización y realizando la entrada/salida por polling.

Solución 1. Solución usando un 8255 para las entradas y salidas, un 8254 para la temporización y realizando la entrada/salida por polling. Enunciado: Se va a implementar un sistema basado en 0 para el control de un cruce con entre dos calles de una sola dirección (una principal con bastante tráfico y una secundaria con poco tráfico) regulada

Más detalles

INSTRUMENTACIÓN AVANZADA Departamento de Ingeniería Eléctrica y Electromecánica Facultad de Ingeniería Universidad Nacional de Mar del Plata

INSTRUMENTACIÓN AVANZADA Departamento de Ingeniería Eléctrica y Electromecánica Facultad de Ingeniería Universidad Nacional de Mar del Plata Muestreo de señales analógicas Principal requerimiento que surge al muestrear una señal continua: Definir el periodo de muestreo con suficiente exactitud. Ajustar el mismo a un valor adecuado de acuerdo

Más detalles

Práctica de Estructura de Computadores Sistemas de Entrada/Salida: Entrada/Salida Programada Curso 2010/2011

Práctica de Estructura de Computadores Sistemas de Entrada/Salida: Entrada/Salida Programada Curso 2010/2011 Práctica de Estructura de Computadores Sistemas de Entrada/Salida: Entrada/Salida Programada Curso 2010/2011 Antonio Pérez Ambite Santiago Rodríguez de la Fuente Departamento de Arquitectura y Tecnología

Más detalles

Reset e interrupciones

Reset e interrupciones Reset e interrupciones M.C. Jorge Eduardo Ibarra Esquer Resets e interrupciones Son respuestas a eventos que se presentan durante la ejecución normal de un programa Un reset regresa el microcontrolador

Más detalles

Unidad 5 Unidad central de proceso

Unidad 5 Unidad central de proceso Unidad 5 Unidad central de proceso Objetivo El objetivo de esta unidad es que el alumno logre: entender el funcionamiento básico de la Unidad Central de Proceso (UCP), definir las componentes básicas de

Más detalles

Arquitectura de Computadores Problemas (hoja 4). Curso

Arquitectura de Computadores Problemas (hoja 4). Curso Arquitectura de Computadores Problemas (hoja 4). Curso 2006-07 1. Sea un computador superescalar similar a la versión Tomasulo del DLX capaz de lanzar a ejecución dos instrucciones independientes por ciclo

Más detalles

Universidad Euskal Herriko del País Vasco Unibertsitatea Arquitectura de Computadores I Sistema de memoria 1

Universidad Euskal Herriko del País Vasco Unibertsitatea Arquitectura de Computadores I Sistema de memoria 1 Arquitectura I Sistema de memoria 1 1. En un espacio de direcciones de 64 Kbytes deben colocarse los s de memoria que se indican. Suponer que el direccionamiento de la memoria se hace al byte. Dibujar

Más detalles

Test: Conteste exclusivamente en HOJA DE LECTURA ÓPTICA. No olvide marcar que su tipo de examen es C

Test: Conteste exclusivamente en HOJA DE LECTURA ÓPTICA. No olvide marcar que su tipo de examen es C MATERIAL PERMITIDO: los libros Estructura y tecnología de computadores y Problemas de estructura y tecnología de computadores, ed. Sanz y Torres, y calculadora. NO SE PERMITEN FOTOCOPIAS. INSTRUCCIONES:

Más detalles

Contenidos. Arquitectura de ordenadores (fundamentos teóricos) Elementos de un ordenador. Periféricos

Contenidos. Arquitectura de ordenadores (fundamentos teóricos) Elementos de un ordenador. Periféricos Arquitectura de ordenadores (fundamentos teóricos) Representación de la información Estructura de un microprocesador Memorias Sistemas de E/S Elementos de un ordenador Microprocesador Placa base Chipset

Más detalles

Tema 4 LA MEMORIA DE PROGRAMAS

Tema 4 LA MEMORIA DE PROGRAMAS Tema 4 LA MEMORIA DE PROGRAMAS Introducción (1) Como es habitual en todos los µprocesadores y µcontroladores el programa que se ejecutará,, se encuentra grabado en una memoria no volátil, estando este

Más detalles

MEMORIA EJERCICIO 1 EJERCICIO 2

MEMORIA EJERCICIO 1 EJERCICIO 2 MEMORIA EJERCICIO 1 Determinar el mapa de memoria de un procesador con 16 señales de bus de direcciones, una señal de asentimiento de bus de direcciones AS, una señal de lectura R, otra de escritura W

Más detalles

Práctica de Arquitectura de Computadores Sistemas de Entrada/Salida: Entrada/Salida Programada Curso 2016/2017

Práctica de Arquitectura de Computadores Sistemas de Entrada/Salida: Entrada/Salida Programada Curso 2016/2017 Práctica de Arquitectura de Computadores Sistemas de Entrada/Salida: Entrada/Salida Programada Curso 2016/2017 Antonio Pérez Ambite Santiago Rodríguez de la Fuente Departamento de Arquitectura y Tecnología

Más detalles

Programación de los Microcontroladores 8052/8051 (I)

Programación de los Microcontroladores 8052/8051 (I) CAPITULO 3 Programación de los Microcontroladores 8052/8051 (I) 3.1. INTRODUCCION Todos los modelos de la familia 51 ejecutan el mismo conjunto de instrucciones. Estas instrucciones están optimizadas para

Más detalles

APUNTE DEL 8155 ELECTRÓNICA DIGITAL III

APUNTE DEL 8155 ELECTRÓNICA DIGITAL III APUNTE DEL 8155 ELECTRÓNICA DIGITAL III Revisión 1.1 Marzo, 2011 Interfaz a periférico 8155 Descripción general El chip 8155 es un dispositivo introducido por Intel en 1977. Contiene memoria RAM (SRAM)

Más detalles

Sistema Operativo. Repaso de Estructura de Computadores. Componentes Hardware. Elementos Básicos

Sistema Operativo. Repaso de Estructura de Computadores. Componentes Hardware. Elementos Básicos Sistema Operativo Repaso de Estructura de Computadores Capítulo 1 Explota los recursos hardware de uno o más procesadores Proporciona un conjunto de servicios a los usuarios del sistema Gestiona la memoria

Más detalles

Arquitectura del MIPS: Introducción

Arquitectura del MIPS: Introducción Arquitectura del MIPS: Introducción Montse Bóo Cepeda Este trabajo está publicado bajo licencia Creative Commons Attribution- NonCommercial-ShareAlike 2.5 Spain. Estructura del curso 1. Evolución y caracterización

Más detalles

CU4 PROYECTO CU4 DISEÑO EN VHDL DE MICROPROCESADOR ELEMENTAL CON UN REGISTRO INTERNO DE DATOS PARA REALIZAR OPERACIONES INTERNAS BÁSICAS.

CU4 PROYECTO CU4 DISEÑO EN VHDL DE MICROPROCESADOR ELEMENTAL CON UN REGISTRO INTERNO DE DATOS PARA REALIZAR OPERACIONES INTERNAS BÁSICAS. CU4 PROYECTO CU4 DISEÑO EN VHDL DE MICROPROCESADOR ELEMENTAL CON UN REGISTRO INTERNO DE DATOS PARA REALIZAR OPERACIONES INTERNAS BÁSICAS. SE AGREGA, A PARTIR DE ESTE PROYECTO, UN DIVISOR DE FRECUENCIAS

Más detalles

Tema 5 (III) Jerarquía de Memoria

Tema 5 (III) Jerarquía de Memoria Grupo ARCOS Tema 5 (III) Jerarquía de Memoria Estructura de Computadores Grado en Ingeniería Informática Contenidos 1 Tipos de memoria 2 Jerarquía de memoria 3 Memoria principal 4 Memoria caché 5 Memoria

Más detalles

Guía de ejercicios # 11 - Jerarquía de memoria

Guía de ejercicios # 11 - Jerarquía de memoria Guía de ejercicios # 11 - Jerarquía de memoria Organización de Computadoras 2017 UNQ Funciones de correspondencia Cuando la Unidad de Control pide una determinada celda, la memoria caché debe, en primer

Más detalles

Práctica 3 de Microprocesadores

Práctica 3 de Microprocesadores Práctica 3 de Microprocesadores Objetivos - Familiarización con el microinstructor TM-683 de PROMAX y del entorno IT68KW. - Realización de programas básicos que permitan manejar los puertos de entrada/salida

Más detalles

Presentación Funciones (o rutinas) Declaración de una función Llamada a una función La pila (STACK)... 9

Presentación Funciones (o rutinas) Declaración de una función Llamada a una función La pila (STACK)... 9 Todos los derechos de propiedad intelectual de esta obra pertenecen en exclusiva a la Universidad Europea de Madrid, S.L.U. Queda terminantemente prohibida la reproducción, puesta a disposición del público

Más detalles

Figura 2. Formato de un dato serie síncrono.

Figura 2. Formato de un dato serie síncrono. ELECTRÓNICA DIGITAL II 1 COMUNICACIÓN SERIE EN EL 8051 En la comunicación serie los datos se transfieren bit por bit. Una interfaz en el microcontrolador los transfiere el dato en paralelo del CPU a serie

Más detalles

3 Interfaz PC DSP. 3.1 Introducción a las comunicaciones serie. 3 Interfaz PC DSP

3 Interfaz PC DSP. 3.1 Introducción a las comunicaciones serie. 3 Interfaz PC DSP 3 Interfaz PC DSP 3.1 Introducción a las comunicaciones serie Las comunicaciones serie se utilizan para enviar datos a través de largas distancias, ya que las comunicaciones en paralelo exigen demasiado

Más detalles

INSTITUTO TECNOLOGICO DEL MAR, Mazatlán

INSTITUTO TECNOLOGICO DEL MAR, Mazatlán INSTITUTO TECNOLOGICO DEL MAR, Mazatlán APUNTES DE LA MATERA DE: MICROPROCESADORES I DEPARTAMENTO DE INGENIERIA ELECTRONICA PROF: ING: RUFINO J. DOMINGUEZ ARELLANO 1.1. CARACTERISTICAS DE LA FAMILIA 51

Más detalles

Bus de datos Bus de direcciones Pocos bits, los suficientes para direccionar registros internos (ej: A0 y A1)

Bus de datos Bus de direcciones Pocos bits, los suficientes para direccionar registros internos (ej: A0 y A1) 16-1 Muchas veces los fabricantes de µps también ofrecen periféricos con aplicaciones establecidas que facilitan el hardware del sistema. En general son programables, lo que les permite diferentes modos

Más detalles

PIC 18F45XX CARACTERÍSTICAS GENERALES

PIC 18F45XX CARACTERÍSTICAS GENERALES PIC 18F45XX CARACTERÍSTICAS GENERALES 1. Características generales CPU con arquitectura Harvard (77 instrucciones) Todas las instrucciones constan de 1 sola palabra de 16 bits (2 bytes) excepto las de

Más detalles

LABORATORIO DE ARQUITECTURA DE COMPUTADORES. Práctica 1

LABORATORIO DE ARQUITECTURA DE COMPUTADORES. Práctica 1 LABORATORIO DE ARQUITECTURA DE COMPUTADORES Práctica 1 1. Realizar un programa que almacene en memoria RAM a partir de la dirección $1000 los 30 primeros números múltiplos de tres. 2. Paso de parámetros

Más detalles

Curso sobre Microcontroladores Familia HC9S08 de Freescale

Curso sobre Microcontroladores Familia HC9S08 de Freescale Curso sobre Microcontroladores Familia HC9S08 de Freescale Por Ing. Daniel Di Lella EduDevices www.edudevices.com.ar e-mail: info@edudevices.com.ar Responsable Area Educación ELKO / ARROW www.elkonet.com

Más detalles

APENDICE A Microcontrolador de 8 bits MC68HC11F1

APENDICE A Microcontrolador de 8 bits MC68HC11F1 APENDICE A Microcontrolador de 8 bits MC68HC11F1 Características generales: Memoria RAM de 1Kbyte Memoria EEPROM de 512 bytes Cuatro modos de operación o Bootstrap o Expandido o Single chip o Test Siete

Más detalles

SISTEMAS ELECTRÓNICOS DIGITALES

SISTEMAS ELECTRÓNICOS DIGITALES SISTEMAS ELECTRÓNICOS DIGITALES PRÁCTICA 6 SISTEMA DE ENCRIPTACIÓN 1. Objetivos - Estudio del funcionamiento de memorias RAM y CAM. - Estudio de métodos de encriptación y compresión de datos. 2. Enunciado

Más detalles

Bucles: o Bucles infinitos o Bucles finitos o Bucles anidados Medir tiempos con MPLAB Ejemplos y ejercicios

Bucles: o Bucles infinitos o Bucles finitos o Bucles anidados Medir tiempos con MPLAB Ejemplos y ejercicios SISTEMAS ELECTRÓNICOS Y AUTOMÁTICOS PRACTICAS DE MICROCONTROLADORES PIC PRÁCTICA 3: Bucles Bucles: o Bucles infinitos o Bucles finitos o Bucles anidados Medir tiempos con MPLAB Ejemplos y ejercicios -

Más detalles

DESCRIPCIÓN DE LAS INSTRUCCIONES

DESCRIPCIÓN DE LAS INSTRUCCIONES Todas las instrucciones del PIC cumplen las siguientes condiciones: Las instrucciones son simples y rápidas. Todas tienen un tiempo de ejecución de un ciclo de instrucción (cuatro ciclos de reloj) a excepción

Más detalles

Ensamblador. Introducción. Dpto. Física y Arquitectura de Computadores. Universidad Miguel Hernandez

Ensamblador. Introducción. Dpto. Física y Arquitectura de Computadores. Universidad Miguel Hernandez Dpto. Física y Arquitectura de Computadores Universidad Miguel Hernandez Es el lenguaje de más bajo nivel que admite una máquina. El ensamblador hace que el código binario(máquina sea transparente al usuario)

Más detalles

Ejercicios del tema 4. El procesador

Ejercicios del tema 4. El procesador jercicios del tema 4. l procesador jercicio 1. Considere un procesador de 32 bits con una frecuencia de reloj de 500 MHz con la estructura del mostrado en el jercicio 3. La memoria se direcciona por bytes

Más detalles

Capítulo 1. Introducción a los PIC de 8 bits

Capítulo 1. Introducción a los PIC de 8 bits Capítulo 1. Introducción a los PIC de 8 bits 1.1 Memorias y Registros Entre los componentes básicos de un microcontrolador podemos definir el contador, sus registros, la memoria, el watchdog timer, el

Más detalles

BOLETIN 7: Subsistemas secuenciales

BOLETIN 7: Subsistemas secuenciales BOLETIN 7: Subsistemas secuenciales Problemas básicos P. Realice el diagrama de estados de un C.S.S. que funcione como un contador módulo 4 ascendente/descendente en función de una entrada de control.

Más detalles

Ejercicio 1. Considere el siguiente fragmento en ensamblador:

Ejercicio 1. Considere el siguiente fragmento en ensamblador: UNIVERSIDAD CARLOS III DE MADRID DEPARTAMENTO DE INFORMÁTICA GRADO EN INGENIERÍA INFORMÁTICA. ESTRUCTURA DE COMPUTADORES Para la realización del presente examen se dispondrá de 1:30 horas. NO se podrán

Más detalles

ORGANIZACIÓN DE LA MEMORIA

ORGANIZACIÓN DE LA MEMORIA Existen dos tipos de memoria en todos los µc, memoria de programa y memoria de datos. La primera (EPROM, EEPROM, FLASH, etc) contiene los códigos de operación que se ejecutarán para seguir una secuencia

Más detalles

Periféricos: Timer. Cesáreo Fernández Martínez Álvaro Sánchez Miralles

Periféricos: Timer. Cesáreo Fernández Martínez Álvaro Sánchez Miralles Periféricos: Timer Cesáreo Fernández Martínez Álvaro Sánchez Miralles Periféricos Dispositivo que tiene el microcontrolador para realizar tareas especificas sin consumir tiempo de CPU. Se encuentran mapeados

Más detalles

Registros de desplazamiento

Registros de desplazamiento Registros de desplazamiento Definición de registro de desplazamiento básico Tipos de registro de desplazamiento Configuraciones específicas Aplicaciones más típicas VHDL Ejercicio propuestos Definición

Más detalles

Estructura de Computadores. Problemas de Instrucciones y Direccionamientos

Estructura de Computadores. Problemas de Instrucciones y Direccionamientos Estructura de Computadores. Problemas de Instrucciones y Direccionamientos Departamento de Arquitectura y Tecnología de Sistemas Informáticos Septiembre 2009 1. Sea un computador con palabras y direcciones

Más detalles

Estructura de Computadores (EdC-ISW-G1) Boletín 4: Programación AVR

Estructura de Computadores (EdC-ISW-G1) Boletín 4: Programación AVR Estructura de Computadores (EdC-ISW-G1) 2017-18 Boletín 4: Programación AVR Problema 1 Sean A y B dos números sin signo de un byte, almacenados en las direcciones $0100 y $0101 respectivamente. Escriba

Más detalles

COMUNICACIÓN SERIE ENTRE MICROCONTROLADORES MEDIANTE UART SW

COMUNICACIÓN SERIE ENTRE MICROCONTROLADORES MEDIANTE UART SW COMUNICACIÓN SERIE ENTRE MICROCONTROLADORES MEDIANTE UART SW En esta práctica se describe la forma de realizar una comunicación serie half-duplex entre dos microcontroladores utilizando UARTs software.

Más detalles

CUESTIÓN 1 (2.5 puntos):

CUESTIÓN 1 (2.5 puntos): No se permiten ni libros, ni apuntes, ni calculadoras programables. Sólo se permite el manual del microcontrolador Se contestará sólo en el espacio reservado al efecto, pudiendo utilizar la cara posterior

Más detalles

MODOS DE DIRECCIONAMIENTO

MODOS DE DIRECCIONAMIENTO MODOS DE DIRECCIONAMIENTO A algunos estudiantes no les parece muy importante el tema de los modos de direccionamiento. Si el objetivo último y principal es procesar de alguna manera (sumar, restar, desplazar,

Más detalles

ITT-327-T Microprocesadores

ITT-327-T Microprocesadores ITT-327-T Microprocesadores Temporizador Programable (PIT) 8254. Temporizador/Contador Programable (PIT) 8254. Es un contador/temporizador programable diseñado para trabajar con los sistemas de microcomputadores.

Más detalles

Examen de Fundamentos de Ordenadores. 14 de septiembre de 2005 PRIMERA PARTE (SIN LIBROS)

Examen de Fundamentos de Ordenadores. 14 de septiembre de 2005 PRIMERA PARTE (SIN LIBROS) Duración: treinta minutos Puntuación: dos puntos cada pregunta Examen de Fundamentos de Ordenadores 14 de septiembre de 2005 PRIMERA PARTE (SIN LIBROS) Responda en la hoja de respuestas que se adjunta

Más detalles

Sistemas Operativos Tema 2: Estructura del computador José Miguel Santos Alexis Quesada Francisco Santana

Sistemas Operativos Tema 2: Estructura del computador José Miguel Santos Alexis Quesada Francisco Santana Sistemas Operativos Tema 2: Estructura del computador 1998-2008 José Miguel Santos Alexis Quesada Francisco Santana 1 Contenidos Estructura de la E/S Sistema de Interrupciones DMA Jerarquía de memorias

Más detalles

Terminales del P 8085 (vistos hasta ahora)

Terminales del P 8085 (vistos hasta ahora) Terminales del P 8085 (vistos hasta ahora) Buses de direcciones y datos Bus de control Alimentación, clock, reset Registros internos del P 8085 Transferencia: MVI r,dato MOV r1,r2 LDA dir STA dir Aritméticas:

Más detalles

Tablas familia MCS-51 (8051)

Tablas familia MCS-51 (8051) Tablas familia MCS-5 (805) y detalles para el Atmel AT89C5RE Informática Industrial Ingeniería Técnica Industrial, esp. Electrónico Departamento de Informática de Sistemas y Computadores - DISCA Escuela

Más detalles

Capítulo 1 Conceptos arquitectónicos

Capítulo 1 Conceptos arquitectónicos Sistemas operativos: una visión aplicada Capítulo 1 Conceptos arquitectónicos Contenido Estructura del computador Ejecución de instrucciones Interrupciones Reloj del computador Jerarquía de memoria Entrada/Salida

Más detalles

Guía de práctica. Dispositivo

Guía de práctica. Dispositivo Guía de práctica Que queremos hacer? Vamos a hacer el Hello World del mundo de la electrónica que no es más que prender y apagar un LED a intervalos regulares. PROYECTO LAPEGÜE 1 = Prende Dispositivo 0

Más detalles

INTRODUCCIÓN. Comunicación Serial.

INTRODUCCIÓN. Comunicación Serial. INTRODUCCIÓN La función principal de este tipo de comunicación es la de convertir datos de salida de forma paralela a serial y la de convertir datos de entrada de forma serial a paralela. El acceso al

Más detalles

Tema 4: Microcontrolador AT90S2313

Tema 4: Microcontrolador AT90S2313 Tema 4: Microcontrolador AT90S2313 - Sistema de interrupciones y RESET - Mapa de registros de E/S - Registros del sistema - Puertos B y D - Temporizadores - UART - Perro guardián 1 Sistema de control de

Más detalles