ITSP. Timer 0 del AVR. En la siguiente sección veremos como configurar y utilizar los registros del Timer/Contador 0, así como sus modos de operación.

Tamaño: px
Comenzar la demostración a partir de la página:

Download "ITSP. Timer 0 del AVR. En la siguiente sección veremos como configurar y utilizar los registros del Timer/Contador 0, así como sus modos de operación."

Transcripción

1 6.1 INTRODUCCIÓN Timer 0 del AVR En la siguiente sección veremos como configurar y utilizar los registros del Timer/Contador 0, así como sus modos de operación. En la figura 1 se muestra el diagrama a bloques del Timer/Contador 0 de 8 bits. Los registros del Timer/Contador 0 (TCNT0) y el de Comparación de Salida (OCR0) son de 8 bits. Las señales de petición de Interrupción (Interrupt Request) son visibles en el Registro de Banderas de la Interrupción del Timer (TIFR). El reloj del Timer/Contador 0 (clk T0 ) es interno vía el pre-escalador o con reloj externo a través del pin T0. El bloque lógico de Selección de Reloj selecciona la fuente del reloj y la pendiente que el Timer/Contador 0 usa para incrementarse (o decrementarse). El Timer/Contador 0 esta inactivo cuando no esta seleccionada ninguna fuente de reloj. Se refiere a la salida del reloj seleccionada como reloj del timer (clk T0 ). El Registro de Comparación de Salida (OCR0) es doble buffer y su valor se compara con el Timer/Contador 0 en todo momento. El resultado de la comparación puede ser usado por el generador de la forma de onda de PWM o una frecuencia variable a la salida del Pin de Comparación de Salida (OC0). Cuando ocurra la igualdad de valores la bandera de Comparación se activa (OCF0) la cual se usa para generar una solicitud de interrupción de comparación de salida. Documento traducido de la hoja de datos del ATmega32 1

2 Definiciones Un valor de n deberá sustituirse por el número del Timer/Contador que se este utilizando, en este caso es el 0. BOTTOM el contador alcanza el nivel más bajo cuando llega a 0x00. MAX el contador alcanza el valor más alto cuando llega a ser 0xFF (255 en decimal). TOP el contador alcanza el valor tope cuando se iguala al valor más alto de la secuencia de conteo. El valor tope puede ser asignado por un valor fijo máximo de 0xFF o el valor almacenado en el registro OCR0. La fuente del reloj se selecciona a través de los bits de selección de reloj (CS02:0) que están localizados en el Registro de Control del Timer/Contador (TCCR0). La parte principal del Timer/Contador de 8 bits es la unidad programable bidireccional de conteo, que se muestra en la figura 2. Dependiendo del modo de operación utilizado, el contador es limpiado, se incrementa o decrementa por cada ciclo de reloj del timer (clk T0 ). clk T0 puede ser generado de una fuente de reloj externo o interno, seleccionando los bits de selección de reloj (CS02:0). Cuando ninguna fuente de reloj se selecciona (CS02:0=0) el timer se detiene. Sin embargo, el valor de TCNT0 puede ser accesado por el CPU, sin considerar si el clk T0 esta presente o no. Una escritura del CPU sobrescribe (tiene prioridad sobre) todas las operaciones de conteo o limpieza. La secuencia de conteo se determina por el establecimiento de los bits WGM01 y WGM00 localizados en el Registro de Control del Timer/Contador 0 (TCCR0). Existe una relación entre como es el conteo de un contador y en la forma de onda que genera la unida de salida de comparación (OC0). La bandera de sobreflujo del Timer/Contador (TOV0) es puesta de acuerdo al modo de operación seleccionado por los bits WGM01:0. TOV0 puede ser usada para generar las interrupciones dentro del CPU. Documento traducido de la hoja de datos del ATmega32 2

3 6.2 UNIDAD DE COMPARACIÓN DE SALIDA El comparador de 8 bits continuamente compara el registro TCNT0 con el Registro de Comparación de Salida (OCR0). Cuando TCTN0 iguala a OCR0, las señales del comparador se igualan. Una igualdad pondrá un uno en la bandera de Comparación de Salida (OCF0) en el próximo ciclo de reloj del timer, si es habilitada OCIE0 = 1 y la Bandera de Interrupciones Globales SREG = 1, la Bandera de Salida de Comparación generara una interrupción de comparación a la salida. La bandera OCF0 es automáticamente limpiada cuando la interrupción se ejecuta. Alternativamente, la bandera OCF0 puede ser limpiada por software escribiendo un uno lógico en su bit específico de I/O. El generador de forma de onda usará la señal igualada para generar una salida acorde al modo de operación colocado por los bits WGM01:0 y los bits del Modo de Comparación de Salida COM01:0. Las señales de max y bottom son usadas por el generador de forma de onda para el manejo especial en los valores extremos en algunos modos de operación. La figura 3 muestra la Unidad de Comparación de Salida. El registro OCR0 es de doble buffer cuando se usa cualquier modo de Modulación de Ancho de Pulso (PWM). Para los modos de operación normal y Limpieza del Timer por Comparación (CTC), el doble buffer se deshabilita. El doble buffer se sincroniza para actualizar el registro de comparación OCR0 ya sea a la secuencia de conteo TOP o BOTTOM. La sincronización previene la ocurrencia de longitudes impares, pulsos no simétricos PWM, salidas libre de fallas. Documento traducido de la hoja de datos del ATmega32 3

4 El acceso al registro OCR0 puede ser complejo, pero este no es el caso. Cuando el doble buffer es habilitado, el CPU tiene acceso al registro buffer OCR0, y si el de doble buffer esta deshabilitado el CPU accesará al OCR0 directamente. Comparación de Salida Forzada. En los modos de generación de forma de onda no PWM, la salida igualada del comparador puede ser forzada a escribir un uno en el bit de Comparación de Salida Forzada (FOC0). Forzando la comparación igualada no se pondrá en uno la bandera OCF0 o recarga/limpia el timer, pero el pin OC0 será actualizado como si una igualdad real en la comparación haya ocurrido (los bits COM01:0 definen si el pin OC0 es puesto a uno, limpiado o invertido toggled ) Bloqueo de la Comparación de Igualdad al escribir en TCNT0. Todas las operaciones del CPU de escribir en el Registro TCNT0 bloquearan cualquier comparación de igualdad que ocurra en el próximo ciclo de reloj del timer, aún cuando el timer este detenido. Esta característica permite a OCR0 sea inicializado al mismo valor que TCNT0 sin disparar ninguna interrupción cuando el reloj del Timer/Contador este habilitado. Usando la Unidad de Comparación de Salida. Ya que al escribir en TCNT0 en cualquier modo de operación bloqueara todas las comparaciones de igualdad por un solo ciclo de reloj del timer, existen riesgos involucrados cuando se cambia TCNT0 al usar la unidad de comparación de salida, independientemente si el Timer/Contador esta corriendo o no. Si el valor escrito en TCNT0 iguala al valor de OCR0, la comparación de igualdad se perderá, resultando una generación de forma de onda perdida. De manera similar, no escriba un valor en TCNT0 igual a BOTTOM cuando el contador este en decremento. El ajuste de OC0 deberá ser realizado antes de establecer el Registro de Dirección de Datos para el pin del puerto de salida. La manera más fácil de establecer el valor de OC0 es usar los bits de Comparación de Salida Forzada (FOC0) en modo Normal. El registro OC0 mantiene su valor aún cuando cambie entre los modos de generación de forma de onda. Este consciente que los bits COM01:0 no son de doble buffer ni el del valor de comparación. Cambiando los bits COM01:0 tendrá efectos inmediatamente. Unidad de Comparación de Igualdad de Salida Los bits del modo de Comparación de Salida (COM01:0) tienen dos funciones. El generador de forma de onda usa los bits COM01:0 para definir el estado en Comparación de Salida (OC0) en la próxima comparación igualada. También, los bits COM01:0 controlan la fuente del pin de salida OC0. Al referirse al estado de OC0, nos referimos al Registro interno OC0, no al pin OC0. Al ocurrir un reset del sistema, el registro OC0 se pone en 0. La figura 4 muestra la Unidad de Comparación de Igualdad de Salida. Documento traducido de la hoja de datos del ATmega32 4

5 La función del puerto general de I/O se sobrescribe por el comparador de salida (OC0) del generador de forma de onda si los bits COM01:0 están en uno. Sin embargo, la dirección del pin OC0 (entrada o salida) aún es controlada por el Registro de Dirección de Datos (DDR) para el pin del puerto. El bit del registro de dirección de datos para el pin OC0 (DDR_OC0) debe ser puesto a uno como salida antes de que el valor de OC0 sea visible en el pin. La función del puerto de sobre-escritura es independiente del modo de Generación de forma de onda. El diseño de la salida del pin comparación permite la inicialización del estado OC0 antes que la salida esté habilitada. Note que algunas configuraciones de COM01:0 están reservadas para ciertos modos de operación. Modo de Comparación de Salida y Generación de Forma de Onda. El Generador de Forma de Onda usa los bits COM01:0 de manera diferente que en los modos normal, CTC y PWM. Para todos los modos, colocar COM01:0 = 0 le dice al generador de forma de onda que no realice en el Registro OC0 ninguna acción para la próxima comparación de igualdad. Un cambio en el estado de los bits COM01:0 tendrán efectos en la primera comparación de igualdad después de que los bits de escriben. 6.3 MODOS DE OPERACIÓN El modo de operación, por ejemplo, la conducta del Timer/Contador y los pines de Comparación de Salida son definidos por la combinación de los bits en el modo de Generación de Forma de Onda (WGM01:0) y los bits del modo de Comparación de Salida (COM01:0). Los bits del modo de Comparación de Salida no afectan la Documento traducido de la hoja de datos del ATmega32 5

6 secuencia de conteo, mientras que los bits del modo de Generación de la Forma de Onda si. Los bits COM01:0 controlan si la salida generada PWM deberá ser invertida o no (invertida o no invertida PWM). Para el modo de PWM no invertida los bits COM01:0 controlan si la salida deberá ser puesta a uno, limpiada o invertida en una comparación igualada. Modo Normal El modo más simple es el modo normal (WGM01:0 = 0). En este modo la dirección del conteo es siempre ascendente y no se limpia el contador. El contador simplemente se sobrescribe cuando pasa de su máximo valor de 8 bits (TOP = 0xFF) y entonces se reinicia desde su valor más bajo (0x00). En operación normal la Bandera de Sobreflujo del Timer/Contador 0 (TOV0) será puesta a uno en el mismo ciclo de reloj del timer como el TCNT0 llega a ser cero. La bandera TOV0 en este caso se comporta como el noveno bit, excepto si esta puesto en uno, y no es limpiado. Sin embargo, combinado con la interrupción de sobreflujo del timer que automáticamente limpia la bandera TOV0, la resolución del timer puede ser incrementada por software. No existen casos especiales que considerar en el modo normal, un nuevo valor en el contador puede ser escrito en cualquier instante. La unidad de comparación de salida puede ser usada para generar interrupciones en algún momento dado. Usando la comparación de salida para generar formas de onda en el modo normal no es recomendado, ya que esto ocupara demasiado tiempo para el CPU. Modo de Comparación de Limpieza del Timer (CTC) En el modo de comparación limpieza del timer (WGM01:0=2), el registro OCR0 se utiliza para manipular la resolución del contador. En el modo CTC el contador se limpia a cero cuando el valor del contador (TCNT0) iguala a OCR0. El OCR0 define el valor tope para el contador, aunque también su resolución. Este modo permite mayor control de la frecuencia de salida de comparación igualada. También simplifica la operación de conteo de eventos externos. El diagrama de tiempos para el modo CTC se muestra en la figura 5. El valor del contador (TCNT0) se incrementa hasta que una igualdad en la comparación entre TCNT0 y OCR0, y entonces el contador TCNT0 se limpia. Documento traducido de la hoja de datos del ATmega32 6

7 Una interrupción puede generarse cada vez que el valor del contador alcanza el valor TOP usando la bandera OCF0. Si la interrupción esta habilitada, la rutina de manejo de la interrupción puede ser usada para actualizar el valor TOP. Sin embargo, cambiando TOP a un valor cercano a BOTTOM cuando el contador esta corriendo sin ningún valor bajo de preescalador, debe realizarse con cuidado ya que en el modo CTC no se tiene la característica de doble buffer. Si el valor nuevo escrito a OCR0 es tan más pequeño que el valor actual de TCNT0, el contador perderá la igualdad de comparación. El contador entonces contara a su máximo valor (0xFF) y volverá a contar desde 0x00 antes de que la igualdad de comparación ocurra. Para generar una forma de onda de salida en el modo CTC, la salida OC0 puede ser invertida toogle de su nivel lógico cada vez que exista una igualdad de comparación, simplemente ajustando los bits de modo de comparación de salida a un modo toggle (COM01:0=1). El valor de OC0 no será visible en el pin del puerto a menos que la dirección de datos para el pin sea colocado como salida. La forma de onda generada tendrá una frecuencia máxima de f OC0 = fclk_i/o /2 cuando OCR0 sea puesto en cero (0x00). La frecuencia de la forma de onda se define por la siguiente ecuación: La variable N representa el factor pre-escalar (1, 8, 64, 256 o 1024). En el modo normal de operación, la bandera TOV0 se coloca a uno en el mismo ciclo de reloj cuando contador pasa de MAX a 0x00. Modo Rápido PWM La modulación rápida PWM o el modo rápido PWM (WGM01:0=3) provee una alta generación en frecuencia de forma de onda PWM. El PWM rápido difiere de la opción anterior de PWM por su operación de una sola pendiente. El contador se incrementa de BOTTOM a MAX, entonces se reinicia desde BOTOM. En el modo no invertido de comparación de salida, el bit de comparación de salida (OC0) se limpia cuando se iguala la comparación entre TCNT0 y OCR0, y se ajusta a BOTTOM. En el modo invertido de comparación de salida, la salida es puesta a uno cuando se iguala la comparación y se limpia a BOTTOM. Debido a la operación de una sola pendiente, la frecuencia de operación del modo PWM rápido puede ser doblada tan alta como el modo de fase correcto de PWM que usa la operación dual de pendientes. Esta frecuencia alta hace que el modo rápido PWM se ajuste en aplicaciones de regulación de potencia, rectificación y DAC. En el modo rápido PWM, el contador se incrementa hasta el valor del contador iguala el valor MAX. El contador es entonces limpiado en el siguiente ciclo de reloj. El diagrama de tiempo para el modo PWM rápido se muestra en la figura 6. El valor de TCNT0 esta en el diagrama de tiempo mostrado como un histograma para ilustrar la operación de una sola pendiente. El diagrama incluye salidas de PWM no invertida e invertida. La línea pequeña horizontal marca en donde la pendiente llega a TCNT0 y se iguala a OCR0. Documento traducido de la hoja de datos del ATmega32 7

8 La bandera de sobreflujo Timer/Contador (TOV0) se coloca a uno cada vez que el contador alcanza MAX. Si la interrupción esta habilitada, la rutina que maneja la interrupción puede ser usada para actualizar el valor a comparar. En el modo PWM rápido, la unidad de comparación permite la generación de formas de onda de PWM en el pin OC0. Ajustando los bits COM01:0=2 producirá un PWM no invertido y un PWM invertido se logra ajustando los bits CMO01:0=3 se genera un PWM invertido. El valor de OC0 actual será solamente visible en el pin del puerto si la dirección de los datos para el pin de puerto se coloca como salida. La forma de onda de PWM se genera poniendo a uno (o a cero) el registro OC0 en la comparación de igualdad entre OCR0 y TCNT0, y poniendo a cero (o a uno) el registro OC0 en el ciclo del timer de reloj del contador es limpiado (cambiando de MAX a BOTTOM). La frecuencia PWM para la salida puede ser calculada por la siguiente ecuación: La variable N representa el factor preescalar (1, 8, 64, 256 o 1024). Los valores extremos para el registro OCR0 representan casos especiales cuando se genera una forma de onda PWM de salida en el modo rápido PWM. Si el OCR0 es igual BOTTOM, la salida será un pequeño salto por cada ciclo de reloj MAX+1. Ajustando de OCR0 igual a MAX resultara en una salida constante alta o baja (dependiendo de la polaridad del ajuste de salida por los bits COM01:0). Una forma de onda con frecuencia de salida de un 50% a razón de ciclo en el modo rápido PWM puede ser alcanzado ajustando OC0 a su nivel lógico invertido en cada igualdad de comparación (COM01:0=1). La forma de onda generada tendrá una frecuencia máxima de foc0 = fclk_i/o/2 cuando OCR0 este en cero. Esta característica es Documento traducido de la hoja de datos del ATmega32 8

9 similar al modo CTC de OC0 invertido, excepto por la característica del doble buffer en la unidad de comparación de salida este habilitada en el modo rápido PWM. Modo PWM de Fase Correcta El modo de fase correcta PWM (WGM01:0=1) provee una alta resolución de fase correcta de forma de onda en la generación de PWM. El modo de fase correcta de PWM se basa en la operación de doble pendiente. El contador se incrementa repetidamente desde BOTTOM a MAX y se decrementa desde MAX a BOTTOM. En el modo de comparación de salida no invertido, la comparación de salida (OC0) se limpia cuando se igualan los registros entre TCNT0 y OCR0 mientras se cuenta ascendentemente y se pone a uno en la comparación igualada mientras se cuenta descendentemente. En el modo de comparación de salida invertida, la operación se invierte. La operación de pendiente doble tiene una frecuencia de operación máxima más baja que la operación de una sola pendiente. Sin embargo, debido a la característica simétrica de los modos de doble pendiente PWM, estos modos se prefieren para aplicaciones de control de motores. La resolución PWM para el modo de fase correcta PWM es fija a 8 bits. En el modo de fase correcta PWM el contador se incrementa hasta que el valor del contador iguala a MAX. Cuando el contador alcanza el MAX, cambia la dirección de la cuenta. El valor de TCNT0 será igual a MAX en un ciclo de reloj del timer. El diagrama de tiempos para el modo de fase correcta PWM se muestra en la figura 7. El valor de TCNT0 se muestra como un histograma para ilustrar la operación de doble pendiente. El diagrama incluye salidas de PWM invertidas y no invertidas. La línea horizontal en la pendiente TCNT0 representa la comparación igualada entre OCR0 y TCNT0. Documento traducido de la hoja de datos del ATmega32 9

10 La bandera de sobreflujo del Timer/Contador 0 (TOV0) se pone a uno cada vez que el contador alcanza el BOTTOM. La bandera de interrupción se usa para generar una interrupción cada vez el contador alcanza el valor de BOTTOM. En el modo de fase correcta PWM, la unidad de comparación permite la generación de formas de onda PWM en el pin OC0. Ajustando los bits COM01:0=2 producirá una salida PWM no invertida. Una salida PWM invertida se genera colocando los bits COM01:0=3. El valor actual OC0 solamente será visible en el pin del puerto si la dirección de datos para el pin es puesto como salida. La forma de onda PWM es generada limpiando (o poniendo a 1) el registro OC0 en la comparación igualada entre OCR0 y TCNT0 cuando el contador se incrementa, y poniendo a uno (o limpiando) el registro OC0 en la comparación igualada entre OCR0 y TCNT0 cuando el contador se decrementa. La frecuencia del PWM para la salida cuando se usa el modo de fase correcta PWM puede ser calculado por la siguiente ecuación: La variable N representa el factor pre-escalar (1, 8, 64, 256 o 1024). Los valores extremos para el registro OCR0 representan casos especiales cuando se genera una forma de onda de salida PWM en el modo de fase correcta PWM. El registro OCR0 es puesto igual a BOTTOM, la salida será continuamente en bajo y cuando se pone igual a MAX la salida será continuamente alta para el modo PWM no invertido. Para el PWM invertido la salida tendrá valores lógicos opuestos. 6.4 PREESCALADOR DEL TIMER/CONTADOR0 El timer/contador 0 y el timer/contador 1 comparten el mismo módulo de preescalación, pero estos posee diferentes ajustes de preescalación. Fuente de reloj interno. El timer/contador puede ser sincronizado directamente del reloj del sistema (colocando a CSn2:0=1). Eso provee la operación más rápida, con una frecuencia de reloj máxima del timer/contador igual a la frecuencia de reloj del sistema (f CLK_I/O ). Alternativamente, uno de los cuatro pasos del preescalador puede usarse como fuente de reloj. El reloj preescalado tiene una frecuencia de f CLK_I/O /8, f CLK_I/O /64, f CLK_I/O /256, f CLK_I/O /1024. Reinicio del Preescalador El preescalador corre libremente, por ejemplo, opera independientemente de la selección lógica del reloj del timer/contador, y es compartida por el timer/contador 0 y el timer/contador 1. Ya que el preescalador no se ve afectado por la selección del reloj del timer/contador, el estado del preescalador tendrá implicaciones en situaciones donde un reloj preescalado sea usado. Un ejemplo de preescalamiento ocurre cuando el time esta habilitado y sincronizado por el preescalador (6 > CSn2:0 > 1). El número d los ciclos de reloj del sistema desde cuando el timer es habilitado en la primera cuenta ocurre puede Documento traducido de la hoja de datos del ATmega32 10

11 ser de 1 a N+1 ciclos de reloj del sistema, donde N iguala al divisor del pre-escalador (8, 64, 256 o 1024). Es posible usar un reset preescalador para sincronizar el timer/contador a la ejecución del programa. Sin embargo, tenga cuidado si otro timer/contador que comparta el mismo preescalador también use el preescalamiento. Un reset en el preescalador afectara el periodo de preescalamiento para todos los timer/contadores que estén conectados a el. Fuente de reloj externo. Una fuente de reloj externo aplicada al pin T1/T0 puede ser usado como reloj del timer/contador (clk T1 /clk T0 ). El pin T1/T0 es muestreado una vez por cada ciclo de reloj del sistema por el pin lógico de sincronización. La señal sincronizada (muestreada) entonces se pasa a través del detector de flancos. La figura 8 muestra un diagrama a bloques equivalente a la sincronización de T1/T0 y un detector de flanco lógico. Los registros están sincronizados al flanco positivo del sistema de reloj interno (clki /O ). El match es transparente al periodo alto del reloj interno del sistema. El detector de pendiente genera un pulso clk T1 /clk T0 por cada pendiente positiva (CSn2:0=7) o pendiente negativa (CSn2:0=6) detectada. La fuente de reloj externa deberá estar a una razón de ciclo de 50/50%. Ya que el detector de flancos utiliza muestreo. Una fuente de reloj externa no puede ser preescalada. La figura 9 muestra el preescalador del timer/contador 0 y timer/contador 1. Documento traducido de la hoja de datos del ATmega32 11

12 6.5 CONFIGURACIÓN DEL TIMER 0 Existen dos cosas que se toman en cuenta cuando se configura el timer. El timer tiene que ser inicializado seleccionando la fuente de reloj, y las interrupciones deberán estar habilitadas. Registros compartidos Si los mismos registros se usan tanto en el Servicio de Interrupción de Rutina (ISR) como en el código principal, estos registros tienen que ser salvados al inicio de la ISR y restablecerlos al final de la ISR. Si no todos los 32 registros no son necesarios en la aplicación, las operaciones de salvado y restablecimiento pueden ser evitadas usando registros diferentes en el código principal y en el ISR. También es importante recordar que hay que almacenar el Registro de Estado (SREG), y este registro no se salva automáticamente. Note: El compilador de C maneja esto automáticamente, mientras que en lenguaje ensamblador esto se tiene que realizar con las instrucciones push y pop. Timer 0 de 8 bits. El timer0 de 8 bits es un timer síncrono. Esto significa que es sincronizado por el sistema de reloj, el sistema de reloj preescalador o el reloj externo el cual esta sincronizado con el sistema de reloj. Se utilizan pocos ajustes para hacerlo funcionar. Documento traducido de la hoja de datos del ATmega32 12

13 Ejemplo de Interrupción de Sobreflujo del Timer0. Con cada interrupción los pines del Puerto B serán invertidos. Los LEDs parpadearan a una frecuencia (f LED ) que se determina por la siguiente fórmula: Un sistema que consiste de un timer de 8 bits (MaxVal = 256) y un sistema de reloj de 8 MHz el cual se divide por un preescalador de PVal = 1024, causaran que los LEDs parpadeen a una frecuencia (f LED ) de aproximadamente de Hz. La siguiente rutina de inicialización muestra como configurar tal sistema: init_ex1: ldi r16,(1<<cs02) (1<<CS00) out TCCR0,r16 ; Reloj del Timer = sistema de reloj / 1024 ldi r16,1<<tov0 out TIFR,r16 ldi r16,1<<toie0 out TIMSK,r16 Timer/Counter0 ser r16 ret out DDRB,r16 ; Limpia las interrupciones pendientes TOV0 ; Habilita el Sobreflujo de Interrupción del ; Coloca al Puerto B como salida El C correspondiente: void init_ex1(void) { TCCR0 = (1<<CS02) (1<<CS00); // del Timer = sistema de reloj / 1024 TIFR = 1<<TOV0; // Limpia las interrupciones pendientes TOV0 TIMSK = 1<<TOIE0; // Habilita el Sobreflujo de Interrupción del Timer/Counter0 DDRB = 0xFF; // Coloca al Puerto B como salida } En el próximo paso, el servicio de interrupción de rutina ha sido implementado. Esta rutina será ejecutada cada vez que en el timer exista un sobreflujo. Su propósito en este ejemplo es invertir los bits del Puerto B (LEDs). ISR_TOV0: push r16 in r16,sreg push r16 in r16,portb com r16 out PORTB,r16 pop r16 out SREG,r16 pop r16 reti ; Lee el Puerto B ; Invierte bits del registro r16 ; Se escribe en el Puerto B El C correspondiente: void interrupt[timer0_ovf0_vect]isr_tov0 (void) { PORTB = ~PORTB; // Invierte bits del registro r16 } Documento traducido de la hoja de datos del ATmega32 13

Timer Interface Module

Timer Interface Module Timer Interface Module M.C. Jorge Eduardo Ibarra Esquer Timer Interface (TIM) El TIM es un timer de 2 canales que proporciona una referencia de temporización con captura de entrada, comparador de salida

Más detalles

INTRODUCCIÓN. Comunicación Serial.

INTRODUCCIÓN. Comunicación Serial. INTRODUCCIÓN La función principal de este tipo de comunicación es la de convertir datos de salida de forma paralela a serial y la de convertir datos de entrada de forma serial a paralela. El acceso al

Más detalles

ITT-327-T Microprocesadores

ITT-327-T Microprocesadores ITT-327-T Microprocesadores Temporizador Programable (PIT) 8254. Temporizador/Contador Programable (PIT) 8254. Es un contador/temporizador programable diseñado para trabajar con los sistemas de microcomputadores.

Más detalles

MICROCONTROLADORES PIC

MICROCONTROLADORES PIC MICROCONTROLADORES PIC LOS TIMER DE LOS 16F87x TEMA EL TIMER 1 CCFF D.P.E. MÓDULO DE PROYECTOS 1 Diagrama de Bloques del TIMER1 CCFF D.P.E. MÓDULO DE PROYECTOS 2 INTRODUCCIÓN El módulo TIMER1 es un temporizador/contador

Más detalles

PIC16F882/883/884/886/ Funcionamiento de Timer1 6 EL MODULO TMR1 CON PUERTA DE CONTROL Selección de Fuente de reloj

PIC16F882/883/884/886/ Funcionamiento de Timer1 6 EL MODULO TMR1 CON PUERTA DE CONTROL Selección de Fuente de reloj 6.1. Funcionamiento de Timer1 6 EL MODULO TMR1 CON PUERTA DE CONTROL El módulo TMR1 es un temporizador/contador de 16 bits con las siguientes características: Temporizador/Contador de 16 bits (TMR1L:TMR1H)

Más detalles

TARJETA DE ENTRADAS Y CONTADORES RÁPIDOS (MTC-3052)

TARJETA DE ENTRADAS Y CONTADORES RÁPIDOS (MTC-3052) Pag:1 Descripción Tarjeta de entradas y contadores rápidos diseñada para controles complejos en tiempo real. A través de software sencillo permite su configuración al usuario. Cuenta con diversidad de

Más detalles

Diseño Basado en Microcontroladores. Programa Detallado

Diseño Basado en Microcontroladores. Programa Detallado Diseño Basado en Microcontroladores. Programa Detallado Tema 5. Temporizadores e Interrupciones. (4 horas + 8 horas de laboratorio) 5.1.Temporizadores A y B. Modos de funcionamiento. 5.2. Temporizador

Más detalles

4. Interrupciones Externas, Temporizadores y PWM Microcontroladores

4. Interrupciones Externas, Temporizadores y PWM Microcontroladores 4. Interrupciones Externas, Temporizadores y PWM Microcontroladores M. C. Felipe Santiago Espinosa Noviembre / 2016 Introducción Los AVR tienen una gama amplia de recursos internos. En este capítulo revisaremos

Más detalles

TEMA 8. REGISTROS Y CONTADORES.

TEMA 8. REGISTROS Y CONTADORES. TEMA 8. REGISTROS Y CONTADORES. TECNOLOGÍA DE COMPUTADORES. CURSO 2007/08 8.1. Registros. Tipos de registros. Registros de desplazamiento. Los registros son circuitos secuenciales capaces de almacenar

Más detalles

TEMPORIZADORES Y WATCHDOG

TEMPORIZADORES Y WATCHDOG Todos los derechos de propiedad intelectual de esta obra pertenecen en exclusiva a la Universidad Europea de Madrid, S.L.U. Queda terminantemente prohibida la reproducción, puesta a disposición del público

Más detalles

CONVERTIDOR ANALÓGICO DIGITAL del AVR

CONVERTIDOR ANALÓGICO DIGITAL del AVR CONVERTIDOR ANALÓGICO DIGITAL del AVR 9.1 INTRODUCCIÓN Características del Convertidor Analógico Digital: 10 bits de resolución. 0.5 LSB No lineal. ±2 LSB Precisión absoluta. 13 a 260µs de Tiempo de Conversión.

Más detalles

Microprocesadores. Temporizadores / Contadores. Prof. Luis Araujo. Universidad de Los Andes

Microprocesadores. Temporizadores / Contadores. Prof. Luis Araujo. Universidad de Los Andes Temporizadores / Contadores Universidad de Los Andes Temporizador / Contador Timer 0 Temporizador/Contador de 8 bits (TMR0), Preescalador programable de 8 bits, Modo temporizador: el valor del registro

Más detalles

INSTRUCCIONES. Las instrucciones del microcontrolador Z8 PLUS se pueden clasificar en grupos de acuerdo a su función como:

INSTRUCCIONES. Las instrucciones del microcontrolador Z8 PLUS se pueden clasificar en grupos de acuerdo a su función como: INSTRUCCIONES Las instrucciones del microcontrolador Z8 PLUS se pueden clasificar en grupos de acuerdo a su función como: Instrucciones de carga Manipulación de bit Aritméticas Transferencias Lógicas Rotación

Más detalles

CICLOS DEL PROCESADOR

CICLOS DEL PROCESADOR UNIDAD DE CONTROL CICLOS DEL PROCESADOR Qué es un ciclo de búsqueda? Para qué sirve estudiar los ciclos de instrucción de una CPU? Para comprender el funcionamiento de la ejecución de instrucciones del

Más detalles

RECURSOS FUNDAMENTALES

RECURSOS FUNDAMENTALES RECURSOS FUNDAMENTALES Los recursos que se considerarán son : Temporizadores Puertos de E/S La Palabra de Configuración EEPROM de datos 1 TEMPORIZADORES Una labor habitual en los programas de control suele

Más detalles

Arquitectura de los Microcontroladores AVR ATmega32.

Arquitectura de los Microcontroladores AVR ATmega32. Arquitectura de los Microcontroladores AVR ATmega32. 2.1 NUCLEO DEL CPU La principal función del núcleo del CPU es asegurar la correcta ejecución de un programa. El CPU debe ser capaz de acceder a la memoria,

Más detalles

LECCIÓN Nº 06 DISEÑO DE CONTADORES SINCRONOS

LECCIÓN Nº 06 DISEÑO DE CONTADORES SINCRONOS LECCIÓN Nº 06 DISEÑO DE CONTADORES SINCRONOS 1. DISPOSITIVOS SECUENCIALES Los circuitos biestables son aquellos que poseen dos estados estables que se pueden mantener por tiempo indefinido, lo que nos

Más detalles

CURSO BÁSICO MICROCONTROLADORES PIC

CURSO BÁSICO MICROCONTROLADORES PIC CURSO BÁSICO MICROCONTROLADORES PIC CONFIGURACIÓN BÁSICA FUSIBLES Los fusibles son palabras de configuración que definen las condiciones de funcionamiento del microcontrolador. Algunos fusibles importantes

Más detalles

INDICE Programa Entrada Unidad de control Unidad aritmética y lógica (ALU)

INDICE Programa Entrada Unidad de control Unidad aritmética y lógica (ALU) INDICE Capitulo 1. Qué es un computador? 1.1. Introducción 1 1.2. El computador como dispositivo electrónico 2 1.3. Cómo se procesa la información? 3 1.4.Diagrama de bloques de un computador 1.4.1. Información

Más detalles

Módulo 2 n. Figura 2.1. Simbología de un contador

Módulo 2 n. Figura 2.1. Simbología de un contador Contadores 2.1. Introducción Los contadores son aplicaciones clásicas de los flip-flop, es un dispositivo electrónico capaz de contar el número de pulsos que llegan a su entrada de reloj. En muchas ocasiones

Más detalles

APUNTE DEL 8155 ELECTRÓNICA DIGITAL III

APUNTE DEL 8155 ELECTRÓNICA DIGITAL III APUNTE DEL 8155 ELECTRÓNICA DIGITAL III Revisión 1.1 Marzo, 2011 Interfaz a periférico 8155 Descripción general El chip 8155 es un dispositivo introducido por Intel en 1977. Contiene memoria RAM (SRAM)

Más detalles

SelectRAM+memory Bloques de memoria RAM En las FPGAs Spartan IIE

SelectRAM+memory Bloques de memoria RAM En las FPGAs Spartan IIE 1 SelectRAM+memory Bloques de memoria RAM En las FPGAs Spartan IIE tiempo de acceso RAM, algunas veces se usa cerrojo en el Juan Manuel Narváez Sánchez, Carlos Andrés Moreno Tenjica, Estudent Member IEEE

Más detalles

Arquitectura Interna del 8088

Arquitectura Interna del 8088 Arquitectura Interna del 8088 Intel diseñó el 8088/8086 para realizar al mismo tiempo las principales funciones internas de transferencia de datos y búsqueda de instrucciones. Para conseguir esto, el 8088

Más detalles

MICROCONTROLADORES EJERCICIOS PARA PRACTICAR USANDO TEMPORIZADORES

MICROCONTROLADORES EJERCICIOS PARA PRACTICAR USANDO TEMPORIZADORES MICROCONTROLADORES EJERCICIOS PARA PRACTICAR USANDO TEMPORIZADORES 1. Se desea enviar por el pin PB.0 un pulso negativo de 244µs cuando se reciba un flanco de bajada por el pin INT0. Escribe un programa

Más detalles

PIC 18F45XX EL TIMER 0

PIC 18F45XX EL TIMER 0 PIC 18F45XX EL TIMER 0 1. Hardware asociado 2. Características Se puede configurar como temporizador o contador de 8/16 bits. Se puede leer o escribir en él a través del registro TMR0. Dispone de un preescaler

Más detalles

MICROPROCESADORES I ELECTRONICA DIGITAL III INTERFASE PROGRAMABLE A PERIFERICOS PPI 8255

MICROPROCESADORES I ELECTRONICA DIGITAL III INTERFASE PROGRAMABLE A PERIFERICOS PPI 8255 MICROPROCESADORES I ELECTRONICA DIGITAL III INTERFASE PROGRAMABLE A PERIFERICOS PPI 8255 INTRODUCCIÓN Este integrado de la firma INTEL, conocido como PPI 8255 (Programmable Peripherical Interfase) realizado

Más detalles

INTERRUPCIONES Y ENTRADA/SALIDA DE PULSOS EN EL CPM2A/CPM2C

INTERRUPCIONES Y ENTRADA/SALIDA DE PULSOS EN EL CPM2A/CPM2C INTERRUPCIONES Y ENTRADA/SALIDA DE PULSOS EN EL CPM2A/CPM2C ESTE MANUAL CONTIENE: 1 FUNCIONES CPM2A/CPM2C 2 INTERRUPCIONES DE ENTRADA 3 INTERRUPCIONES DE TEMPORIZADOR DE INTERVALO 4 INTERRUPCIONES DE CONTADOR

Más detalles

CURSO: Electrónica digital UNIDAD III: CIRCUITOS SECUENCIALES - TEORÍA

CURSO: Electrónica digital UNIDAD III: CIRCUITOS SECUENCIALES - TEORÍA www.ceduvirt.com CURSO: Electrónica digital UNIDAD III: CIRCUITOS SECUENCIALES - TEORÍA INTRODUCCIÓN SISTEMA SECUENCIAL Un sistema combinatorio se identifica por: 1. La salida del sistema debe ser estrictamente

Más detalles

2. PANTALLA ALFANUMÉRICA DE LCD (HITACHI HD44780U)

2. PANTALLA ALFANUMÉRICA DE LCD (HITACHI HD44780U) 2. PANTALLA ALFANUMÉRICA DE LCD (HITACHI HD44780U) Este controlador de la casa Hitachi se usa para mostrar caracteres alfanuméricos en paneles LCD de matriz pasiva (los típicos que se pueden encontrar

Más detalles

Módulos CCP. Registro de captura Registro de comparación Registro de ciclo de servicio PWM

Módulos CCP. Registro de captura Registro de comparación Registro de ciclo de servicio PWM Módulos CCP Los PIC16F87X disponen de 2 módulos CCP (Capture/Compare/PWM): CCP1 y CCP2. Cada módulo CCP cuenta con un registro de 16 bits (CCPR1 y CCPR2) que puede operar como un Registro de captura Registro

Más detalles

Unidad 3: Circuitos digitales.

Unidad 3: Circuitos digitales. A-1 Appendix A - Digital Logic Unidad 3: Circuitos digitales. Diapositivas traducidas del libro Principles of Computer Architecture Miles Murdocca and Vincent Heuring Appendix A: Digital Logic A-2 Appendix

Más detalles

Lógica secuencial. Biestables

Lógica secuencial. Biestables Universidad Rey Juan Carlos Lógica secuencial. Biestables Norberto Malpica norberto.malpica@urjc.es Dpto. Tecnología Electrónica Lógica secuencial. Biestables 1 Esquema 1. Introducción a los sistemas secuenciales

Más detalles

Diagrama del Conversor Análogo-Digital

Diagrama del Conversor Análogo-Digital Conversor Análogo-Digital (ADC12) del MSPF149 Descripción [1,2] El microcontrolador MSPF149 tiene un conversor análogo-digital (ADC12) con las siguientes características: 12 bits. Tasa de muestreo máxima:

Más detalles

Convertidor Analógico Digital ADC

Convertidor Analógico Digital ADC Convertidor Analógico Digital ADC M.C. Jorge Eduardo Ibarra Esquer Convertidor analógico a digital ADC Las características de este módulo son: Ocho canales con entrada multiplexada Aproximación lineal

Más detalles

Timer s Escuela Superior de Cómputo IPN. Departamento de Posgrado Dr. Julio Cesar Sosa Savedra curso.escom@yahoo.com www.desid.escom.ipn.

Timer s Escuela Superior de Cómputo IPN. Departamento de Posgrado Dr. Julio Cesar Sosa Savedra curso.escom@yahoo.com www.desid.escom.ipn. Interrupciones Timer s Escuela Superior de Cómputo IPN. Departamento de Posgrado Dr. Julio Cesar Sosa Savedra curso.escom@yahoo.com www.desid.escom.ipn.mx Definición Definición: Los TIMER's son contadores

Más detalles

Velocidades Típicas de transferencia en Dispositivos I/O

Velocidades Típicas de transferencia en Dispositivos I/O Entradas Salidas Velocidades Típicas de transferencia en Dispositivos I/O Entradas/Salidas: Problemas Amplia variedad de periféricos Entrega de diferentes cantidades de datos Diferentes velocidades Variedad

Más detalles

SISTEMAS ELECTRÓNICOS DIGITALES

SISTEMAS ELECTRÓNICOS DIGITALES SISTEMAS ELECTRÓNICOS DIGITALES PRÁCTICA 6 SISTEMA DE ENCRIPTACIÓN 1. Objetivos - Estudio del funcionamiento de memorias RAM y CAM. - Estudio de métodos de encriptación y compresión de datos. 2. Enunciado

Más detalles

Controlador de Interrupciones (Versión programable) Manual de Usuario

Controlador de Interrupciones (Versión programable) Manual de Usuario Controlador de Interrupciones (Versión programable) Manual de Usuario Índice de contenido 1. Características...2 2.Descripción general...3 3.Descripción funcional...3 4.Estructura Interna...4 4.1 Bloque

Más detalles

ORGANIZACIÓN DE LA MEMORIA

ORGANIZACIÓN DE LA MEMORIA Existen dos tipos de memoria en todos los µc, memoria de programa y memoria de datos. La primera (EPROM, EEPROM, FLASH, etc) contiene los códigos de operación que se ejecutarán para seguir una secuencia

Más detalles

Capítulo 1. Introducción a los PIC de 8 bits

Capítulo 1. Introducción a los PIC de 8 bits Capítulo 1. Introducción a los PIC de 8 bits 1.1 Memorias y Registros Entre los componentes básicos de un microcontrolador podemos definir el contador, sus registros, la memoria, el watchdog timer, el

Más detalles

Figura 1. Diagrama de bloques del Timer_A

Figura 1. Diagrama de bloques del Timer_A TIMER_A El Timer_A es un timer/contador de 16 bit con tres registros de captura/comparación. El Timer_A soporta múltiples capturas/comparaciones y temporización de intervalos de tiempo; también genera

Más detalles

Circuitos Lógicos Secuenciales. Figura 36. Circuito lógico secuencial. Actividad de apertura. Circuitos lógicos secuenciales.

Circuitos Lógicos Secuenciales. Figura 36. Circuito lógico secuencial. Actividad de apertura. Circuitos lógicos secuenciales. Circuitos Lógicos Secuenciales UNIDAD 3 Como recordaras en la unidad pasada vimos los circuitos combinacionales, en estos las salidas solo dependen del valor de las entradas. A diferencia de los circuitos

Más detalles

SISTEMA MÍNIMO BASADO EN EL PIC16F84

SISTEMA MÍNIMO BASADO EN EL PIC16F84 PRÁCTICA 0 CIRCUITO BASE SISTEMA MÍNIMO BASADO EN EL PIC16F84 1 PRÁCTICA 1_1 COMPUERTA AND DE TRES ENTRADAS Hardware X0 X1 X2 Y 2 Comportamiento esperado INICIO Configurar Puerto A como entradas y Puerto

Más detalles

Periféricos: Timer. Cesáreo Fernández Martínez Álvaro Sánchez Miralles

Periféricos: Timer. Cesáreo Fernández Martínez Álvaro Sánchez Miralles Periféricos: Timer Cesáreo Fernández Martínez Álvaro Sánchez Miralles Periféricos Dispositivo que tiene el microcontrolador para realizar tareas especificas sin consumir tiempo de CPU. Se encuentran mapeados

Más detalles

Controladores Lógicos Programables

Controladores Lógicos Programables Inicio Objetivos del Programa Misión y Visión del programa Perfil del admitido Perfil del egresado Plan de Estudios Objetivos de la Acreditación Controladores Lógicos Programables Click to edit Master

Más detalles

Estructura de los sistemas de cómputo

Estructura de los sistemas de cómputo Estructura de los sistemas de cómputo Introducción Elementos básicos de un computador Registro del procesador Ejecución de las instrucciones Interrupciones Hardware de protección Introducción Qué es un

Más detalles

Creación de biestables tipo D y T con biestable JK

Creación de biestables tipo D y T con biestable JK Creación de biestables tipo D y T con biestable JK El biestable JK es también llamado "biestable universal" debido a que con él, se pueden implementar otros tipos de biestable, como el biestable tipo D

Más detalles

Estructura de Microprocesadores. Profesor Ing. Johan Carvajal Godínez

Estructura de Microprocesadores. Profesor Ing. Johan Carvajal Godínez Estructura de Microprocesadores PIC 18F4550 Administración de los temporizadores Profesor Ing. Johan Carvajal Godínez Módulos temporizadores El MCU 18F4550 posee cuatro módulos de temporización independientes

Más detalles

SOLECMEXICO CONTADOR TTL 7490

SOLECMEXICO CONTADOR TTL 7490 1 CONTADOR TTL 7490 Un contador MOD-10, es también conocido como contador de décadas. Un contador de décadas es cualquier contador que tenga 10 estados diferentes, independientemente de la secuencia. Un

Más detalles

LABORATORIOS DE: DISPOSITIVOS DE ALMACENAMIENTO Y DE ENTRADA/SALIDA. MEMORIAS Y PERIFÉRICOS.

LABORATORIOS DE: DISPOSITIVOS DE ALMACENAMIENTO Y DE ENTRADA/SALIDA. MEMORIAS Y PERIFÉRICOS. LABORATORIOS DE: DISPOSITIVOS DE ALMACENAMIENTO Y DE ENTRADA/SALIDA. MEMORIAS Y PERIFÉRICOS. PRÁCTICA #7 EL PUERTO PARALELO DE UNA COMPUTADORA OBJETIVO DE LA PRÁCTICA. Aprender la configuración del conector

Más detalles

Entrada y Salida! EC-2721 Arquitectura del Computador I! Entradas/Salidas: Problemas. Entradas salidas: Criterios. Amplia variedad de periféricos!

Entrada y Salida! EC-2721 Arquitectura del Computador I! Entradas/Salidas: Problemas. Entradas salidas: Criterios. Amplia variedad de periféricos! Entrada y Salida Velocidades de transferencia típicas en Dispositivos I/O EC-2721 Arquitectura del Computador I William Stallings, Computer Organization and Architecture, Cap. 7 Universidad Simón Bolívar

Más detalles

Máster universitario en automatización de procesos industriales

Máster universitario en automatización de procesos industriales DEPARTAMENTO DE ELECTRÓNICA Máster universitario en automatización de procesos industriales Departamento de Electrónica Universidad de Alcalá DEPARTAMENTO DE ELECTRÓNICA Programación en Ladder. 2ª parte

Más detalles

UNIVERSIDAD CARLOS III DE MADRID. Ejercicios de VHDL. Circuitos Integrados y Microelectrónica. Luis Entrena. Celia López.

UNIVERSIDAD CARLOS III DE MADRID. Ejercicios de VHDL. Circuitos Integrados y Microelectrónica. Luis Entrena. Celia López. UNIVERSIDAD CARLOS III DE MADRID Ejercicios de VHDL Circuitos Integrados y Microelectrónica Luis Entrena Celia López Mario García Enrique San Millán Marta Portela Almudena Lindoso Problema 1 Se pretende

Más detalles

Comunicación Bluetooth y generación de señales analógicas mediante modulación por ancho de pulso López, Juan Manuel Matrícula: 11.

Comunicación Bluetooth y generación de señales analógicas mediante modulación por ancho de pulso López, Juan Manuel Matrícula: 11. Comunicación Bluetooth y generación de señales analógicas mediante modulación por ancho de pulso López, Juan Manuel Matrícula: 11.036 1 Introducción Se realizó una comunicación Bluetooth entre un teléfono

Más detalles

Tema 4. Organización de la memoria

Tema 4. Organización de la memoria Tema 4 Organización de la memoria 1 ARQUITECTURA DEL PIC16F84 Tema 4. Organización de la memoria Memoria de programa tipo ROM Flash de 1024 registros de 14 bits Memoria de datos dividida en dos áreas:

Más detalles

Relación de Problemas de Circuitos Secuenciales

Relación de Problemas de Circuitos Secuenciales Escuela Técnica de Ingenieros en Informática de Sistemas Sistemas Electrónicos Digitales Relación de Problemas de Circuitos Secuenciales 1.- Dado el circuito secuencial síncrono de la figura: a.- Trace

Más detalles

CÓMO FUNCIONA UN PLC Control Combinacional Programación del PLC

CÓMO FUNCIONA UN PLC Control Combinacional Programación del PLC CÓMO FUNCIONA UN PLC Control Combinacional Programación del PLC PROGRAMACIÓN DE PLC Procedimiento para programar y cargar: Determinar los requisitos del sistema al cual se aplica el PLC. Identificar los

Más detalles

28/09/2012. Interfaz con Dispositivos de Salida. Interfaz con Dispositivos de Entrada. Port Mapped. Memory mapped. Interfaz con Dispositivos I/O

28/09/2012. Interfaz con Dispositivos de Salida. Interfaz con Dispositivos de Entrada. Port Mapped. Memory mapped. Interfaz con Dispositivos I/O Interfaz con Dispositivos I/O Interfaz con Dispositivos de Salida y Salida Unidad 4, Segunda Parte Port Mapped Memory mapped 1 2 Ejecución de la Instrucción OUT Ejecución de la instrucción OUT Dirección

Más detalles

DISEÑO DE PROCESADORES DEDICADOS. Práctica 6 LCD de Propósito General

DISEÑO DE PROCESADORES DEDICADOS. Práctica 6 LCD de Propósito General DISEÑO DE PROCESADORES DEDICADOS Instituto Politécnico Nacional Práctica 6 LCD de Propósito General Campo 1: Datos Personales. Centro de Innovación y Desarrollo Tecnológico en Cómputo CIDETEC Mayo 2015

Más detalles

CONTADORES CARACTERISTICAS IMPORTANTES UTILIDAD CONTADORES DE RIZADO. CONTADOR DE RIZADO MODULO- 16.

CONTADORES CARACTERISTICAS IMPORTANTES UTILIDAD CONTADORES DE RIZADO. CONTADOR DE RIZADO MODULO- 16. CONTADORES Son circuitos digitales lógicos secuenciales de salida binaria o cuenta binaria, caracteristica de temporizacion y de memoria, por lo cual están constituidos a base de flip-flops. CARACTERISTICAS

Más detalles

dksdkñld Docentes )) Ing. Rodrigo Furlani Ing. Domingo Guarmaschelli 1 Práctico Nº 4: Lógica Secuencial Flip Flop RS NOR

dksdkñld Docentes )) Ing. Rodrigo Furlani Ing. Domingo Guarmaschelli 1 Práctico Nº 4: Lógica Secuencial Flip Flop RS NOR Práctico Nº 4: Lógica Secuencial Flip Flop RS NOR 1. Conectar el circuito de la siguiente manera: 2. Utilizar los interruptores A y B para llevar el estado de las entradas del flip flor NOR a 0 o a 1 (A=Reset

Más detalles

3.3 Registros de corrimiento

3.3 Registros de corrimiento Actividad de aprendizaje 17. Los Flip-Flops Consulta en internet la configuración de terminales de los siguientes Flip-Flops JK y pega una imagen de ellos: Circuito integrado Configuración de terminales

Más detalles

PIC16F88. Características

PIC16F88. Características Osciladores PIC16F88. Características Osciladores a cristal: LP, XT y HS hasta 20Mhz Oscilador externo hasta 20Mhz Oscilador interno: 31Khz 8Mhz Periféricos Módulo PWM/CCP CCP (captura/comparación) ->

Más detalles

Segundo Parcial Programación en Mikro C PIC16F877

Segundo Parcial Programación en Mikro C PIC16F877 Segundo Parcial Programación en Mikro C para microcontroladores PIC PIC16F877 Operadores a nivel de bits Operador operacion & AND; compara pares de bits y regresa 1 si ambos son 1 s, de otra manera regresa

Más detalles

PIC 18F45XX CARACTERÍSTICAS GENERALES

PIC 18F45XX CARACTERÍSTICAS GENERALES PIC 18F45XX CARACTERÍSTICAS GENERALES 1. Características generales CPU con arquitectura Harvard (77 instrucciones) Todas las instrucciones constan de 1 sola palabra de 16 bits (2 bytes) excepto las de

Más detalles

Velocidades Típicas de transferencia en Dispositivos I/O

Velocidades Típicas de transferencia en Dispositivos I/O Entradas Salidas Velocidades Típicas de transferencia en Dispositivos I/O Entradas/Salidas: Problemas Amplia variedad de periféricos Entrega de diferentes cantidades de datos Diferentes velocidades Variedad

Más detalles

PIC16C5X (GAMA BAJA) Solo en dispositivos de 28 pins. Encapsulado y patillaje:

PIC16C5X (GAMA BAJA) Solo en dispositivos de 28 pins. Encapsulado y patillaje: PIC6C5X (GAMA BAJA) Oscilador RESET SLEEP WatchDog Timer (WDT) Protección de código e IDs Periféricos: Timer/Contador de 8bits (T) con prescaler compartido para WDT o TMR Hasta 3 puertos I/O (RA,RB,RC)

Más detalles

Organización de Computadoras Apunte 5: Circuitos Lógicos Secuenciales

Organización de Computadoras Apunte 5: Circuitos Lógicos Secuenciales Organización de Computadoras 2003 Apunte 5: Circuitos Lógicos Secuenciales Introducción: En el desarrollo de los sistemas digitales es fundamental el almacenamiento de la información, esta característica

Más detalles

Inversor PWM 1 INTRODUCCION

Inversor PWM 1 INTRODUCCION Inversor PWM 1 INTRODUCCION Los inversores cd ca se emplean en fuentes de energía ininterrumpida y controles de velocidad para motores de ca. Esto se aplica en el control de la magnitud y la frecuencia

Más detalles

Tema 4 Microprocesador MCF5282: Hardware

Tema 4 Microprocesador MCF5282: Hardware Tema 4 Microprocesador MCF5282: Hardware Curso 2010/11 Índice 1. Introducción 2. Descripción general de los terminales 3. Módulo de interfaz externo (EIM) 4. Módulo de generación de chip-selects (CS) 5.

Más detalles

2.2-1 Visión Interna PIC16F873/876. SBM

2.2-1 Visión Interna PIC16F873/876. SBM 2.2-1 Visión Interna PIC16F873/876. 1 2.2-2 Visión Interna PIC16F874/877. 2 2.2-3 Sistema de memoria. Tienen arquitectura Harvard, separa la memoria de datos y la memoria de programa, y se accede a ellas

Más detalles

Tutoría 2. Banco de memoria de 8 y 16 bits (8086)

Tutoría 2. Banco de memoria de 8 y 16 bits (8086) Tutoría 2. Banco de memoria de 8 y 16 bits (8086) RESUMEN Cuando el procesador opera en modo mínimo, éste genera las señales de control para la memoria y los dispositivos de E/S. [1, pág. 292]. Para utilizar

Más detalles

2. Biestables asíncronos. Biestables R-S. Tecnología Industrial II. Tema 4.- Elementos básicos de un circuito secuencial.

2. Biestables asíncronos. Biestables R-S. Tecnología Industrial II. Tema 4.- Elementos básicos de un circuito secuencial. . Clases de circuitos secuenciales. Los circuitos secuenciales pueden ser asíncronos o síncronos. Un circuito secuencial es asíncrono cuando los cambios de estado tienen lugar cuando están presentes las

Más detalles

9. Lenguaje ensamblador

9. Lenguaje ensamblador 9. Lenguaje ensamblador Lenguaje máquina: un programa o secuencia de instrucciones viene dado por una secuencia de códigos binarios. Lenguaje ensamblador: secuencia lógica de sentencias pertenecientes

Más detalles

TEMA 5.3 SISTEMAS DIGITALES

TEMA 5.3 SISTEMAS DIGITALES TEMA 5.3 SISTEMAS DIGITALES TEMA 5 SISTEMAS DIGITALES FUNDAMENTOS DE ELECTRÓNICA 08 de enero de 2015 TEMA 5.3 SISTEMAS DIGITALES Introducción Sistemas combinacionales Sistemas secuenciales TEMA 5.3 SISTEMAS

Más detalles

ENTRADAS DE CONTADOR DE ALTA VELOCIDAD

ENTRADAS DE CONTADOR DE ALTA VELOCIDAD ENTRADAS DE CONTADOR DE ALTA VELOCIDAD Esta función cuenta las entradas de señales de impulsos en los terminales de entrada incorporada Configuración La configuración del contador de alta velocidad se

Más detalles

TEMA 1. PROGRAMACIÓN DE UN COMPUTADOR

TEMA 1. PROGRAMACIÓN DE UN COMPUTADOR Tema 1. Programación de un computador TEMA 1. CIÓN DE UN COMPUTADOR 1. CONCEPTO DE 2. LENGUAJES DE CIÓN 2.1. LENGUAJE MÁQUINA 2.2. LENGUAJE ENSAMBLADOR 2.3. LENGUAJE DE ALTO NIVEL 3. ALGORITMOS. REPRESENTACIÓN

Más detalles

Sistemas Combinacionales y Sistemas Secuenciales

Sistemas Combinacionales y Sistemas Secuenciales y Prof. Rodrigo Araya E. raraya@inf.utfsm.cl Universidad Técnica Federico Santa María Departamento de Informática Valparaíso, 1 er Semestre 2006 y 1 2 3 y Contenido Al hablar de sistemas, nos referimos

Más detalles

UNIVERSIDAD TECNICA FEDERICO SANTA MARIA DEPARTAMENTO DE ELECTRONICA Diseño con Microcontroladores

UNIVERSIDAD TECNICA FEDERICO SANTA MARIA DEPARTAMENTO DE ELECTRONICA Diseño con Microcontroladores WATCHDOG TIMER El watchdog timer es un temporizador de 16 bit que puede ser usado como watchdog o por intervalos de tiempo. Al iniciarse un programa, el watchdog timer está activo y configurado por defecto

Más detalles

USO DE MEMORIA Y PERIFÉRICOS CON EMU8086. Kervin Sánchez Herrera.

USO DE MEMORIA Y PERIFÉRICOS CON EMU8086. Kervin Sánchez Herrera. USO DE MEMORIA Y PERIFÉRICOS CON EMU8086 Kervin Sánchez Herrera. Estructura del Computador MEMORIA Uso de Memoria La memoria ROM ya está escrita y contiene el programa BOOT de inicio, este programa se

Más detalles

KIT LUCES SECUENCIALES REVERSIBLES CON 16 LEDS. Luces secuenciales con efecto de scanner o simulador de alarma.

KIT LUCES SECUENCIALES REVERSIBLES CON 16 LEDS. Luces secuenciales con efecto de scanner o simulador de alarma. KIT LUCES SECUENCIALES REVERSIBLES CON 16 LEDS Luces secuenciales con efecto de scanner o simulador de alarma. Tabla de Contenido DEFINICIÓN FUNCIONAMIENTO LISTA DE PARTES ENSAMBLE REFERENCIAS DEFINICIÓN

Más detalles

Circuitos Secuenciales: concepto de estado

Circuitos Secuenciales: concepto de estado Lógica Secuencial Circuitos Secuenciales: concepto de estado En los sistemas secuenciales la salida Z en un determinado instante de tiempo t i depende de X en ese mismo instante de tiempo t i y en todos

Más detalles

Introducción a los microcontroladores. Decanato de Postgrado Mayo de 2011

Introducción a los microcontroladores. Decanato de Postgrado Mayo de 2011 Introducción a los microcontroladores Decanato de Postgrado Mayo de 2011 Microcontroladores aaaaa Un microcontrolador (Micro Controller Unit, MCU), es un circuito integrado programable, construido con

Más detalles

Contenido. Conjunto de Instrucciones. Formato de la Instrucción. Instrucciones de 1 byte. Formato de la Instrucción 28/09/2011

Contenido. Conjunto de Instrucciones. Formato de la Instrucción. Instrucciones de 1 byte. Formato de la Instrucción 28/09/2011 1 ontenido 2 UNIDAD 5 EL LENGUAJE DE ENSAMBLADOR DEL Z80 onjunto de instrucciones Tipos de instrucciones Modos de direccionamiento El ensamblador del Z80 El simulador AVOET Implementación de un sistema

Más detalles

Trabajo práctico 2. Contadores

Trabajo práctico 2. Contadores Universidad Austral de Chile Facultad de Ciencias de la Ingeniería Trabajo práctico 2. Contadores Sistemas Lógicos Binarios ELEL-170 Integrantes: Fernando Nanco Andrés Sánchez Juan Luis Almendras Profesor:

Más detalles

&217$'25(6',*,7$/(6. Figura 1.

&217$'25(6',*,7$/(6. Figura 1. &217$'25(6',*,7$/(6 En casi todos los tipos de equipo digital se encuentran flip-flops programados o conectados como contadores, usándose no solamente como contadores sino como equipo para dar la secuencia

Más detalles

Sistema Operativo. Repaso de Estructura de Computadores. Componentes Hardware. Elementos Básicos

Sistema Operativo. Repaso de Estructura de Computadores. Componentes Hardware. Elementos Básicos Sistema Operativo Repaso de Estructura de Computadores Capítulo 1 Explota los recursos hardware de uno o más procesadores Proporciona un conjunto de servicios a los usuarios del sistema Gestiona la memoria

Más detalles

4 Dispositivos de E/S

4 Dispositivos de E/S cei@upm.es 4 Dispositivos de E/S Dispositivos, puertos y registros de E/S Dispositivos de E/S paralelo Conversión A/D. Pulse Width Modulation Otros dispositivos típicos de E/S Universidad Politécnica de

Más detalles

TEMA 10. CIRCUITOS SECUENCIALES

TEMA 10. CIRCUITOS SECUENCIALES TEMA 10. CIRCUITOS SECUENCIALES http://www.tech-faq.com/wp-content/uploads/images/integrated-circuit-layout.jpg IEEE 125 Aniversary: http://www.flickr.com/photos/ieee125/with/2809342254/ 1 TEMA 10. CIRCUITOS

Más detalles

P1.0,... P1.7 7 EN3 EN2

P1.0,... P1.7 7 EN3 EN2 Ing. O. Richer Microprocesadores I Práctica #7 Manejo de un Display Trabajo en grupo. Conecte al microcontrolador 8051 un display formado por 5 dígitos a base de LED s de siete segmentos, como se muestra

Más detalles

2).Diseñar los circuitos cuyas tablas de estados son las siguientes:

2).Diseñar los circuitos cuyas tablas de estados son las siguientes: EJERCICIOS Tema 7 Ejercicios Síncronos 1) Deduce las tablas de estado que se correponden con los siguientes diagramas de estado. 2).Diseñar los circuitos cuyas tablas de estados son las siguientes: 0 1

Más detalles

Microprocesador. Introducción. Instituto Sagrado Corazón de Jesús

Microprocesador. Introducción. Instituto Sagrado Corazón de Jesús Microprocesador Introducción El microprocesador es la parte de la computadora diseñada para llevar acabo o ejecutar los programas. Este viene siendo el cerebro de la computadora, el motor, el corazón de

Más detalles

TEMA 4 TECNICAS DE DIRECCIONAMIENTO

TEMA 4 TECNICAS DE DIRECCIONAMIENTO TEMA 4 TECNICAS DE DIRECCIONAMIENTO CURSO 2010/2011 TECNICAS DE DIRECCIONAMIENTO 1. Introducción 2. Registros de los Generadores de Direcciones (DAG) Registros Alternos de los DAG 3. Modos de Operación

Más detalles

Lógica Secuencial. Circuitos Digitales, 2º de Ingeniero de Telecomunicación ETSIT ULPGC

Lógica Secuencial. Circuitos Digitales, 2º de Ingeniero de Telecomunicación ETSIT ULPGC Lógica Secuencial Circuitos Digitales, 2º de Ingeniero de Telecomunicación ETSIT ULPGC Componentes secuenciales Contienen elementos de memoria Los valores de sus salidas dependen de los valores en sus

Más detalles

Tema 2: EL TIPO DE DATOS ENTERO. INSTRUCCIÓN DE ASIGNACIÓN Y DE COMPOSICIÓN SECUENCIAL

Tema 2: EL TIPO DE DATOS ENTERO. INSTRUCCIÓN DE ASIGNACIÓN Y DE COMPOSICIÓN SECUENCIAL Tema 2: EL TIPO DE DATOS ENTERO. INSTRUCCIÓN DE ASIGNACIÓN Y DE COMPOSICIÓN SECUENCIAL Cualquier duda sobre el contenido de este tema se puede enviar al foro TEORIA2. 2.1.- El tipo de datos entero (byte,

Más detalles

Departamento de Sistemas e Informática

Departamento de Sistemas e Informática Departamento de Sistemas e Informática Programación en Assembler - Clase 4 Digital II Interrupciones Una interrupción es una llamada generada por hardware (o software) que interrumpe al programa principal

Más detalles

MÓDULO COMPARADOR Y REFERENCIA DE TENSIÓN PARA LA COMPARACIÓN

MÓDULO COMPARADOR Y REFERENCIA DE TENSIÓN PARA LA COMPARACIÓN MÓDULO COMPARADOR Y REFERENCIA DE TENSIÓN PARA LA COMPARACIÓN - + Fernando Nuño García 1 Estos dos módulos existen en la versión de los PIC16F87xA pero NO en la versión PIC16F87x PIC16F877 PIC16F877A 2

Más detalles

IRCUITOS LOGICOS SECUENCIALES

IRCUITOS LOGICOS SECUENCIALES C IRCUITOS LOGICOS SECUENCIALES A diferencia de los circuitos combinacionales, en los circuitos secuenciales se guarda memoria de estado. Las salidas no dependen tan solo del valor de las entradas en un

Más detalles

INSTRUMENTOS Y HERRAMIENTAS DE PROPÓSITO GENERAL

INSTRUMENTOS Y HERRAMIENTAS DE PROPÓSITO GENERAL INSTRUMENTOS Y HERRAMIENTAS DE PROPÓSITO GENERAL EL CIRCUITO INTEGRADO 555: 1. Introducción 2. Estructura interna 3. Funcionamiento del C.I 555 3 B ELECTRÓNICA 1. INTRODUCCIÓN El circuito integrado 55

Más detalles

Universidad Nacional Autónoma de México

Universidad Nacional Autónoma de México Objetivo Controlar la velocidad de un motor de DC usando PWM. Material y equipo para la práctica 1 Multímetro. 1 PC. 1 Tarjeta DEMOJM60. 1 Microcontrolador MC9S08JM60. 2 transistores NPN TIP 41. 1 resistencia

Más detalles