Titulación: Ingeniería Informática Asignatura: Fundamentos de Computadores

Tamaño: px
Comenzar la demostración a partir de la página:

Download "Titulación: Ingeniería Informática Asignatura: Fundamentos de Computadores"

Transcripción

1 Titulación: Ingeniería Informática Asignatura: Fundamentos de Computadores Bloque 3: Sistemas secuenciales Tema 8: José Ignacio Martínez Torre Luis Rincón Córcoles

2 ÍNDICE Bibliografía Introducción Biestables asíncronos Biestables síncronos por nivel Biestables síncronos por flanco Flip-flop SR Flip-flop JK Flip-flop D Flip-flop T Síntesis de máquinas de estados con flip-flops Análisis de máquinas de estados con flip-flops Descripción de máquinas de estados en VHDL 2

3 BIBLIOGRAFÍA Román Hermida, Ana Mº del Corral, Enric Pastor, Fermín Sánchez Fundamentos de Computadores, cap. 5 Editorial Síntesis Thomas L. Floyd Fundamentos de Sistemas Digitales, cap. 8 Editorial Prentice Hall Daniel D. Gajski Principios de Diseño Digital, cap. 6 Editorial Prentice Hall M. Morris Mano Diseño Digital, cap. 6 Editorial Prentice Hall 3

4 INTRODUCCIÓN Los elementos de memoria básicos se llaman biestables, por que pueden estar en dos posibles estados: con la salida a o a. Según las entradas de datos de que disponen podemos establecer varios tipos de biestables: S-R: entradas de puesta a (S, set) y puesta a (R, reset) J-K: entradas de puesta a (J, set) y puesta a (K, reset) D: entrada de datos (D) T: entrada de inversión o basculamiento (toggle) Los biestables pueden ser asíncronos o síncronos: Asíncronos (latch): cuando cambia cualquiera de las entradas puede cambiar la salida. Síncronos: la salida puede cambiar sólo cuando la señal de sincronismo lo permite. 4

5 INTRODUCCIÓN Además, dentro de los biestables síncronos se puede diferenciar entre: Síncronos por nivel (gated latch): la salida puede cambiar cuando la señal de sincronismo está en un determinado nivel(alto o bajo). Síncronos por flanco (low/high edge-triggered flip-flop): la salida puede cambiarcuandolaseñaldesincronismopasadeunnivelaotro. Cuandopasadenivelbajoaalto=>síncronoporflancodesubida. Cuandopasadenivelaltoabajo=>síncronoporflancodebajada. Los más utilizados son los biestables síncronos por flanco, y reciben el nombre de flip-flops. Normalmente los biestables síncronos cuentan con entradas asíncronas que se utilizan para forzar un valor determinado en los mismos al margen del reloj(prevalecen sobre él). Puesta a asíncrona(clear, reset) Puestaaasíncrona(preset,set) 5

6 INTRODUCCIÓN Tabla de excitación de un biestable: Muestra las entradas que hay que introducir en un biestable para gobernar sus transiciones entre estados.

7 ÍNDICE Bibliografía Introducción Biestables asíncronos Biestables síncronos por nivel Biestables síncronos por flanco Flip-flop SR Flip-flop JK Flip-flop D Flip-flop T Síntesis de máquinas de estados con flip-flops Análisis de máquinas de estados con flip-flops Descripción de máquinas de estados en VHDL 7

8 BIESTABLES ASÍNCRONOS El elemento de memoria más sencillo es el latchsr que tiene dos puertas NOR o NAND de dos entradas conectadas entre sí. Es un biestable con un estado de Set(puesta a ) y otro de Reset(puesta a ). Latch SR NOR Asíncrono: 8

9 BIESTABLES ASÍNCRONOS Latch SR NAND Asíncrono: El latchsr NAND opera con señales activas bajas 9

10 ÍNDICE Bibliografía Introducción Biestables asíncronos Biestables síncronos por nivel Biestables síncronos por flanco Flip-flop SR Flip-flop JK Flip-flop D Flip-flop T Síntesis de máquinas de estados con flip-flops Análisis de máquinas de estados con flip-flops Descripción de máquinas de estados en VHDL

11 BIESTABLES SÍNCRONOS POR NIVEL LatchSR NOR síncrono por nivel (gatedlatch): añadiendo unas puertas AND y una señal de sincronismo C, se puede convertir el latchsr NOR asíncrono en uno síncrono por nivel.

12 BIESTABLES SÍNCRONOS POR NIVEL Latch D síncrono (gated latch): añadiendo un inversor para que la entrada R y la entrada S nunca tengan el mismo valor se elimina el estado prohibido, y se obtiene un biestable D. R S Latch D síncrono (gated latch) con Clear y Preset asíncronos: se pueden añadir entradas de clear y preset asíncronas conectándolas directamente el latch SR interno. CLR PRS ON Preset(Q=) Clear (Q=) No permitido 2

13 BIESTABLES SÍNCRONOS POR NIVEL Comportamiento indeseado de los biestables disparados por nivel: Desplazamiento de un valor alto X= por 3 latches. Comportamiento deseado:,, (/2) 2 3 Comportamiento obtenido:,, Se debe a que los latchesson transparentes y cuando C=H los cambios en D se propagan Solución: Flip-flopmaster-slaveo disparado por flanco

14 ÍNDICE Bibliografía Introducción Biestables asíncronos Biestables síncronos por nivel Biestables síncronos por flanco Flip-flop SR Flip-flop JK Flip-flop D Flip-flop T Síntesis de máquinas de estados con flip-flops Análisis de máquinas de estados con flip-flops Descripción de máquinas de estados en VHDL 4

15 BIESTABLES SÍNCRONOS POR FLANCO Flip-flopD master-slave: utilizando dos biestablessíncronos por nivel se puede construir un biestable síncrono por flanco. 2 Con Clk= el primer D captura y el segundo D no cambia Con Clk= al revés Este modo de operación implica que se captura D en el flanco de subida del reloj Clk 5

16 BIESTABLES SÍNCRONOS POR FLANCO Comportamiento deseado de los biestables disparados por flanco: Desplazamiento de un valor alto X= por 3 biestables master-slave. Comportamiento deseado:,, (/2) 2 3 6

17 BIESTABLES SÍNCRONOS POR FLANCO 2 3,, Desplazamiento correcto 7

18 BIESTABLES SÍNCRONOS POR FLANCO Flip-flop D disparado por flanco de subida del reloj: Consiste en añadir al latch convencional dos latches que aseguren que en S y en R nunca se da la condición prohibida. 8

19 BIESTABLES SÍNCRONOS POR FLANCO Set Set Reset Este modo de operación implica que se captura D en el flanco de subida del reloj Clk 9

20 BIESTABLES SÍNCRONOS POR FLANCO Flip-flopD disparado por flanco de subida del reloj con Clear y Preset: El Flip-flopD base + 2 entradas adicionales CLR y PRS en las NAND del latchde salida CLR PRS No permitido Clear (Q=) Preset(Q=) ON 2

21 PARÁMETROS DE LOS BIESTABLES Para que un flip-flop funcione correctamente, hay que respetar dos tiempos: Tiempo de preestabilización (setup time): tiempo previo al flanco activo en el que las entradas deben permanecer estables. Tiempo de mantenimiento (hold time): tiempo posterior al flanco activo en el que las entradas deben permanecer estables. D estable D t setup t hold t setup t hold Clk Si no se respetan estos tiempos: metaestabilidad. Elflip-floppuedetomarunvalorqueniesnies. Elflip-flopserecuperasolo,peronosesabecuántotiempotardaenhacerlo. 2

22 PARÁMETROS DE LOS BIESTABLES Otro tiempo importante es el retardo de propagación(propagation delay): Es el tiempo transcurrido desde el flanco hasta que la salida del biestable presenta el nuevo estado. El tiempo de cambio de valor alto a bajo (t PHL ) y el de bajo a alto (t PLH ) no tienen por qué ser iguales. El retardo de propagación es siempre mayor que el tiempo de mantenimiento. D estable D t setup t hold t setup t hold Clk t PLH t PHL Q 22

23 FLIP-FLOP SR 23

24 FLIP-FLOP SR: MODELO VHDL library ieee; use ieee.std_logic_64.all; entity flipflopsr is port(clk, rst, S, R: in std_logic; Q: out std_logic); end flipflopsr; architecture funcional of flipflopsr is begin process(clk, rst) begin if rst = '' then Q <= ''; elsif rising_edge(clk) then if S = '' then Q <= ''; elsif R = '' then Q <= ''; end if; end if; end process; end funcional; 24

25 FLIP-FLOP JK 25

26 FLIP-FLOP JK: MODELO VHDL library ieee; use ieee.std_logic_64.all; entity flipflopjk is port(clk, rst, J, K: in std_logic; Q: out std_logic); end flipflopjk; architecture funcional of flipflopjk is signal JK: std_logic_vector( downto ); signal Q_aux: std_logic; begin JK <= J&K; process(clk, rst) begin if rst = '' then Q_aux <= ''; elsif rising_edge(clk) then case JK is when "" => Q_aux <= Q_aux; when "" => Q_aux <= ''; when "" => Q_aux <= ''; when others => Q_aux <= not Q_aux; end case; end if; end process; Q <= Q_aux; end funcional; 26

27 FLIP-FLOP D 27

28 FLIP-FLOP D: MODELO VHDL library ieee; use ieee.std_logic_64.all; entity flipflopd is port(clk, rst, D: in std_logic; Q: out std_logic); end flipflopd; architecture funcional of flipflopd is begin process(clk,rst) begin if rst = '' then Q <= ''; elsif rising_edge(clk) then Q <= D; end if; end process; end funcional; 28

29 FLIP-FLOP T 29

30 FLIP-FLOP T: MODELO VHDL library ieee; use ieee.std_logic_64.all; entity flipflopt is port(clk, rst, T: in std_logic; Q: out std_logic); end flipflopt; architecture funcional of flipflopt is signal Q_aux: std_logic; begin process(clk,rst) begin if rst = '' then Q_aux <= ''; elsif rising_edge(clk) then if T = '' then Q_aux <= not Q_aux; end if; end if; end process; Q <= Q_aux; end funcional; 3

31 ÍNDICE Bibliografía Introducción Biestables asíncronos Biestables síncronos por nivel Biestables síncronos por flanco Flip-flop SR Flip-flop JK Flip-flop D Flip-flop T Síntesis de máquinas de estados con flip-flops Análisis de máquinas de estados con flip-flops Descripción de máquinas de estados en VHDL 3

32 SÍNTESIS DE FSM CON FLIP-FLOPS Hemos visto ya la síntesis de máquinas secuenciales utilizando flip-flops de tipo D. Revisaremos la síntesis, pero empleando ahora flip-flops de otras clases. La dificultad estriba en la síntesis de la función de transición. Con flip-flopsd basta con conocer el estado próximo, y suministrar a la entrada D el valor del estado buscado. Con otros flip-flopshay que conocer el estado actual y el próximo, y en función de ambos establecer el valor de las entradas. En los flip-flopssr y JK será preciso calcular dos funciones por cada variable de estado. Usaremos el mismo ejemplo que en el tema anterior. Materializaremos únicamente máquinas de Mealy. X {a, b} Z {p, q} X Sistema secuencial Z = q p si los caso últimos contrario tres datos son : abb 32

33 SÍNTESIS DE FSM CON FLIP-FLOPS b/p S Inicio Diagrama de estados a/p b/p a/p S S 2 a/p b/q Tabla de estados y salidas Estado actual Entrada actual A S S /p S /p S S /p S 2 /p S 2 S /p S /q b Estado siguiente / Salida Codificación binaria Tabla de estados y salidas Entrada Salida Estado S(t) X X(t) X Z(t) Z S(t) Q Q Q Q a p S / / b q S / / S 2 / / 33

34 SÍNTESIS DE FSM CON FLIP-FLOPS: JK Si elegimos biestables JK, tenemos que crear una nueva tabla de excitación y salida. S(t) X Q Q / / / / / / S(t), X S(t+), Z Entradasde excitación Q Q X Q Q Z J K J K X X X X X X X X X X X X X X X X X X X X X X X X X X 34

35 SÍNTESIS DE FSM CON FLIP-FLOPS: JK Es preciso materializar J, K, J, K y Z. S(t), X S(t+), Z Entradasde excitación Q Q X Q Q Z J K J K X X X X X X X X X X X X X X X X X X X X X X X X X X Función de transición J Z (Q J K K (Q (Q (Q,Q,Q,Q,Q,X ) = X,X,X,X ) = ) = X ) = X Q Función de salida (Q,Q,X) = X Q 35

36 SÍNTESIS DE FSM CON FLIP-FLOPS: JK Función de transición S(t+) Memoria S(t) Función de salida Z J K Q J Q K 36

37 SÍNTESIS DE FSM CON FLIP-FLOPS: SR Si elegimos biestables SR, la tabla de excitación y salida cambia. S(t) X Q Q / / / / / / S(t), X S(t+), Z Entradasde excitación Q Q X Q Q Z S R S R X X X X X X X X X X X X X X X X X X X X Sería preciso materializar S, R, S, R y Z. 37

38 ÍNDICE Bibliografía Introducción Biestables asíncronos Biestables síncronos por nivel Biestables síncronos por flanco Flip-flop SR Flip-flop JK Flip-flop D Flip-flop T Síntesis de máquinas de estados con flip-flops Análisis de máquinas de estados con flip-flops Descripción de máquinas de estados en VHDL 38

39 ANÁLISIS DE FSM CON FLIP-FLOPS Lo que cambia es la generación de la tabla de excitación y salida. J Entradas: X {,} Salidas: Z {,} Variables de estado: 2 {Q,Q } K Q J La salida depende del estado y de la entrada: es una máquina de Mealy. K Q 39

40 ANÁLISIS DE FSM: ECUACIONES Y TABLAS - MEALY Función de transición J (Q J K K (Q (Q,Q (Q,Q,Q,Q,X ) = X,X,X,X ) = ) = X ) = X Q Z Función de salida (Q,Q,X) = X Q S(t), X Entradasde excitación S(t+), Z Q Q X J K J K Q Q Z X X X X X X X X X X X X X X X X X X X X X X X X X X La salida depende del estado y de la entrada: es una máquina de Mealy. S(t) X Q Q / / / / / / / / 4

41 ANÁLISIS DE FSM: DECODIFICACIÓN Y REPRESENTACIÓN FORMAL - MEALY Asignamos nombres y valores a las entradas, los estados y la salida. S(t) X Entrada Salida Estado Q Q X X(t) Z Z(t) Q Q S(t) / / a p S / / b q S / / S 2 / / S 3 Estado actual Entrada actual a S S /p S /p S S /p S 2 /p S 2 S /p S /q S 3 S /p S /q b inicio b/p S b/p b/q S3 a/p a/p S2 a/p S b/p a/p 4

42 ÍNDICE Bibliografía Introducción Biestables asíncronos Biestables síncronos por nivel Biestables síncronos por flanco Flip-flop SR Flip-flop JK Flip-flop D Flip-flop T Síntesis de máquinas de estados con flip-flops Análisis de máquinas de estados con flip-flops Descripción de máquinas de estados en VHDL 42

43 Las máquinas de estados se pueden describir fácilmente en VHDL de forma funcional. DESCRIPCIÓN DE MÁQUINAS DE ESTADOS EN VHDL Se describen utilizando 2 procesos, uno que modela el cálculo del estado siguiente y de la salida, y otro proceso que modela la actualización del estado. Se puede crear un tipo de datos enumerado para los estados, o se puede utilizar un vector de bits. Vamos a ver un ejemplo con la siguiente máquina de estados: / / / S / S / S2 Inicio / 43

44 Ejemplo: entidad y proceso que modela el estado futuro y la salida. DESCRIPCIÓN DE MÁQUINAS DE ESTADOS EN VHDL library ieee; use ieee.std_logic_64.all; entity fsm_funcional is port(clk,rst,x: in std_logic; z: out std_logic); end fsm_funcional; architecture funcional of fsm_funcional is type estados is (S, S, S2); signal ns, ps: estados; --next state y present state begin --proximo estado y salida process(x,ps) begin case ps is when S => if x = '' then ns <= S; z <= ''; else ns <= S; z <= ''; end if; when S => if x = '' then ns <= S; z <= ''; else ns <= S2; z <= '; end if; when S2 => if x = '' then ns <= S; z <= ''; else ns <= S; z <= ''; end if; end case; end process; 44

45 DESCRIPCIÓN DE MÁQUINAS DE ESTADOS EN VHDL Ejemplo (continuación): proceso que modela la actualización del estado. --actualización del estado process(clk,rst) begin if rst = '' then ps <= S; elsif rising_edge(clk) then ps <= ns; end if; end process; end funcional; 45

46 Ejemplo (continuación): test-bench. DESCRIPCIÓN DE MÁQUINAS DE ESTADOS EN VHDL library ieee; use ieee.std_logic_64.all; entity test_fsm_funcional is end test_fsm_funcional; architecture test of test_fsm_funcional is signal clk: std_logic := ''; signal rst,x,z: std_logic; begin clk <= not clk after 5 ns; rst <= '', '' after ns, '' after 2 ns; x <= '', '' after 3 ns,'' after 6 ns, '' after 7 ns, '' after 8 ns; inst: entity work.fsm_funcional port map(clk,rst,x,z); end test; 46

47 Ejemplo (continuación): resultado de la simulación. DESCRIPCIÓN DE MÁQUINAS DE ESTADOS EN VHDL 47

Titulación: Ingeniería Informática Asignatura: Fundamentos de Computadores. Bloque 3: Sistemas secuenciales Tema 9: Módulos secuenciales básicos

Titulación: Ingeniería Informática Asignatura: Fundamentos de Computadores. Bloque 3: Sistemas secuenciales Tema 9: Módulos secuenciales básicos Titulación: Ingeniería Informática Asignatura: Fundamentos de Computadores Bloque 3: Sistemas secuenciales Tema 9: Módulos secuenciales básicos Pablo Huerta Pellitero Luis Rincón Córcoles ÍNDICE Bibliografía

Más detalles

INGENIERÍA DE COMPUTADORES III. Solución al Ejercicio de Autocomprobación 1

INGENIERÍA DE COMPUTADORES III. Solución al Ejercicio de Autocomprobación 1 INGENIERÍA DE COMPUTADORES III Solución al Ejercicio de Autocomprobación PREGUNTA (3 puntos) Escriba en VHDL la architecture que describe el comportamiento de un contador síncrono ascendente módulo 4 en

Más detalles

Lógica secuencial. Biestables

Lógica secuencial. Biestables Universidad Rey Juan Carlos Lógica secuencial. Biestables Norberto Malpica norberto.malpica@urjc.es Dpto. Tecnología Electrónica Lógica secuencial. Biestables 1 Esquema 1. Introducción a los sistemas secuenciales

Más detalles

Circuitos Secuenciales: concepto de estado

Circuitos Secuenciales: concepto de estado 1 Lógica Secuencial Circuitos Secuenciales: concepto de estado En los sistemas secuenciales la salida Z en un determinado instante de tiempo t i depende de X en ese mismo instante de tiempo t i y en todos

Más detalles

PARTE I. TÉCNICAS DE DISEÑO ELECTRÓNICO MEDIANTE LENGUAJES DE DESCRIPCIÓN HARDWARE

PARTE I. TÉCNICAS DE DISEÑO ELECTRÓNICO MEDIANTE LENGUAJES DE DESCRIPCIÓN HARDWARE PARTE I. TÉCNICAS DE DISEÑO ELECTRÓNICO MEDIANTE LENGUAJES DE DESCRIPCIÓN HARDWARE TEMA 3. Modelos de sistemas con VHDL Curso 05/06 1 TEMA 3. Modelos de sistemas digitales 3.1 Sistemas combinacionales

Más detalles

UNIVERSIDAD CARLOS III DE MADRID. Ejercicios de VHDL. Circuitos Integrados y Microelectrónica. Luis Entrena. Celia López.

UNIVERSIDAD CARLOS III DE MADRID. Ejercicios de VHDL. Circuitos Integrados y Microelectrónica. Luis Entrena. Celia López. UNIVERSIDAD CARLOS III DE MADRID Ejercicios de VHDL Circuitos Integrados y Microelectrónica Luis Entrena Celia López Mario García Enrique San Millán Marta Portela Almudena Lindoso Problema 1 Se pretende

Más detalles

Organización de Computadoras Apunte 5: Circuitos Lógicos Secuenciales

Organización de Computadoras Apunte 5: Circuitos Lógicos Secuenciales Organización de Computadoras 2003 Apunte 5: Circuitos Lógicos Secuenciales Introducción: En el desarrollo de los sistemas digitales es fundamental el almacenamiento de la información, esta característica

Más detalles

Circuitos Secuenciales: concepto de estado

Circuitos Secuenciales: concepto de estado Lógica Secuencial Circuitos Secuenciales: concepto de estado En los sistemas secuenciales la salida Z en un determinado instante de tiempo t i depende de X en ese mismo instante de tiempo t i y en todos

Más detalles

Tema 7. Análisis de Circuitos Secuenciales

Tema 7. Análisis de Circuitos Secuenciales Tema 7. Análisis de Circuitos Secuenciales Máquinas de estado finito (FSM). Análisis de circuitos secuenciales síncronos. Introducción al diseño secuenciales síncronos. de circuitos Contadores. Registros

Más detalles

LECCIÓN Nº 06 DISEÑO DE CONTADORES SINCRONOS

LECCIÓN Nº 06 DISEÑO DE CONTADORES SINCRONOS LECCIÓN Nº 06 DISEÑO DE CONTADORES SINCRONOS 1. DISPOSITIVOS SECUENCIALES Los circuitos biestables son aquellos que poseen dos estados estables que se pueden mantener por tiempo indefinido, lo que nos

Más detalles

INGENIERÍA DE COMPUTADORES III. Solución al examen de Septiembre 2012

INGENIERÍA DE COMPUTADORES III. Solución al examen de Septiembre 2012 Solución al examen de Septiembre 212 PREGUNTA 1 (2 puntos) 1.a) (1 punto) Dibuje el diagrama conceptual correspondiente al fragmento de código Fragmento 1. 1.b) (1 punto) Dibuje el diagrama conceptual

Más detalles

+ Máquinas de Estado Finitas

+ Máquinas de Estado Finitas + Máquinas de Estado Finitas Las máquinas de estado pueden ser: SÍNCRONAS: Necesitan de la intervención de un pulso de reloj. Si la entrada participa también en la salida se denomina Máquina de estado

Más detalles

MAQUINA DE ESTADO FINITO (FSM) Autómata finito

MAQUINA DE ESTADO FINITO (FSM) Autómata finito MAQUINA DE ESTADO FINITO (FSM) Autómata finito Modelo de Mealy E Lógica del próximo estado Q t+1 M E M O R I A Q t Lógica de salida S Ck Q t+1 = f (E, Q t ) S = g (E, Q t ) Modelo de Moore E Lógica del

Más detalles

TEMA 4. Registro de la Información

TEMA 4. Registro de la Información TEMA 4. Registro de la Información. Introducción. (2). Sistemas síncronos y asíncronos(3).2 Relojes. Características (4 ) 2. Almacenamiento estático de la información: Latches y Biestables ( 8) 2. Entradas

Más detalles

Los circuitos con realimentación no son combinacionales. Constituyen un nuevo tipo, los llamados secuenciales.

Los circuitos con realimentación no son combinacionales. Constituyen un nuevo tipo, los llamados secuenciales. TEMA 6: BIESTABLES. 6.1. Elementos de memoria: biestables y tipos. Los circuitos con realimentación no son combinacionales. Constituyen un nuevo tipo, los llamados secuenciales. La característica principal

Más detalles

MAQUINA DE ESTADO FINITO (FSM) Autómata finito

MAQUINA DE ESTADO FINITO (FSM) Autómata finito MAQUINA DE ESTADO FINITO (FSM) Autómata finito Modelo de Mealy E Lógica del próximo estado Q t+1 M E M O R I A Q t Lógica de salida S Ck Q t+1 = f (E, Q t ) S = g (E, Q t ) Modelo de Moore E Lógica del

Más detalles

CONTADORES. Definición. Diseño y analisis de un contador binario hacia arriba de 3 bits con flip-flops JK. Otros contadores típicos.

CONTADORES. Definición. Diseño y analisis de un contador binario hacia arriba de 3 bits con flip-flops JK. Otros contadores típicos. CONTADORES Definición Diseño y analisis de un contador binario hacia arriba de 3 bits con flip-flops JK. Otros contadores típicos Descripción VHDL Ejercicios Definición Un contador es un circuito digital

Más detalles

Julio. [ Programación en VHDL ] Guía rápida. [ h t t p : / / w w w. o p e n b o x e r m b. c o m / a s i g n a t u r a s / d s d.

Julio. [ Programación en VHDL ] Guía rápida. [ h t t p : / / w w w. o p e n b o x e r m b. c o m / a s i g n a t u r a s / d s d. Julio 09 [ Programación en VHDL ] Guía rápida [ h t t p : / / w w w. o p e n b o x e r. 2 6 0 m b. c o m / a s i g n a t u r a s / d s d. h t m l ] Programación en VHDL Guia rapida [ INDICE ] I. Programación

Más detalles

LABORATORIO DE CIRCUITOS DIGITALES (2005-II) QUINTA CLASE DE VHDL

LABORATORIO DE CIRCUITOS DIGITALES (2005-II) QUINTA CLASE DE VHDL LABORATORIO DE CIRCUITOS DIGITALES (2005-II) QUINTA CLASE DE VHDL MÁQUINAS DE ESTADO FINITAS (FSMs) Máquinas de Moore Máquinas de Mealy MÁQUINAS DE ESTADOS FINITAS (FSMs) Tipos: Máquina de Moore: Las salidas

Más detalles

Índice. VHDL Lección 2.9 Máquinas de Estados Finitos (FSM) 1

Índice. VHDL Lección 2.9 Máquinas de Estados Finitos (FSM) 1 Índice Introducción Niveles de abstracción del modelado con HDL Estilos descriptivos del modelado con HDL Ventajas y limitaciones de los HDLs El lenguaje VHDL Objetos, tipos de datos y operadores Unidades

Más detalles

LABORATORIO DE CIRCUITOS DIGITALES (2005-II) CUARTA CLASE DE VHDL

LABORATORIO DE CIRCUITOS DIGITALES (2005-II) CUARTA CLASE DE VHDL LABORATORIO E CIRCUITOS IGITALES (2005-II) CUARTA CLASE E VHL CIRCUITOS SECUENCIALES Procesos asíncronos (Latches) Procesos síncronos (flip flops, contadores y registros) CIRCUITOS SECUENCIALES En los

Más detalles

VHDL: Código Secuencial. Arquitectura del Computador 2017

VHDL: Código Secuencial. Arquitectura del Computador 2017 VHDL: Código Secuencial Arquitectura del Computador 2017 PROCESSES, FUNCTIONS y PROCEDURES Solo se ejecuta secuencialmente el código que se encuentra dentro de PROCESSES, FUNCTIONS o PROCEDURES. Cualquiera

Más detalles

Recursos y Metodologías. Función. Programas

Recursos y Metodologías. Función. Programas Recursos y Metodologías Sistema Digital Estructura { + Función Descripción Datos R. Cómputo R. Almacenamiento R. Conexionado { Comandos Implementación Full-custom Semi-custom Gate Arrays Programas Lenguaje

Más detalles

Biestables. Luis Entrena, Celia López, Mario García, Enrique San Millán. Universidad Carlos III de Madrid

Biestables. Luis Entrena, Celia López, Mario García, Enrique San Millán. Universidad Carlos III de Madrid Biestables Luis Entrena, Celia López, Mario García, Enrique San Millán Universidad Carlos III de Madrid 1 Circuitos digitales y microprocesadores Entradas Funciones de salida Salidas Funciones de estado

Más detalles

INGENIERÍA DE COMPUTADORES III. Solución al examen de Septiembre 2013

INGENIERÍA DE COMPUTADORES III. Solución al examen de Septiembre 2013 Solución al examen de Septiembre 2013 PREGUNTA 1 (2 puntos) Tomando como base el siguiente código VHDL, dibuje el cronograma de evolución de las señales clk, x, a, b, c e y entre los instantes 0 y 1000

Más detalles

Práctica I.- Introducción a los Sistemas Secuenciales

Práctica I.- Introducción a los Sistemas Secuenciales Práctica I.- Introducción a los Sistemas Secuenciales 1.1.- Objetivos. El objetivo de esta práctica consiste en consolidar las diferencias entre sistemas combinacionales y secuenciales 1.2.- Problema.

Más detalles

Practica 9 Estilos de codificar a estados FCE

Practica 9 Estilos de codificar a estados FCE Practica 9 Estilos de codificar a estados FCE2015-04-07 Parte 1. Diagramas de estado con VHDL Parte2. Cartas ASM con VHDL Introducción En el diseño de sistemas digitales, una parte importante es poder

Más detalles

INGENIERÍA DE COMPUTADORES 3. Solución al Trabajo Práctico - Septiembre de 2016

INGENIERÍA DE COMPUTADORES 3. Solución al Trabajo Práctico - Septiembre de 2016 Solución al Trabajo Práctico - Septiembre de 2016 EJERCICIO 1 Se desea diseñar un circuito digital que implemente la función F cuya tabla de verdad se muestra a continuación, que depende de las tres variables

Más detalles

Practicas tuteladas VHDL (curso 04-05)

Practicas tuteladas VHDL (curso 04-05) Practicas tuteladas VHDL (curso 4-5) Tecnología de computadores. Prácticas de VHDL (Curso 3/4) PRÁCTICA-2: ATRIBUTOS DE SEÑALES ELEMENTOS DE MEMORIA Y REGISTROS OBJETIVOS: Utilizar el paquete de datos

Más detalles

LECCIÓN Nº 05 SEÑALES DE RELOJ Y FLIP FLOP SINCRONIZADOS POR RELOJ

LECCIÓN Nº 05 SEÑALES DE RELOJ Y FLIP FLOP SINCRONIZADOS POR RELOJ LECCIÓN Nº 05 SEÑALES DE RELOJ Y FLIP FLOP SINCRONIZADOS POR RELOJ. FLIP FLOP RS SINCRONIZADOS POR RELOJ Un flip-flop S-R es un circuito multivibrador biestable conformado por un detector de transición

Más detalles

Latch D con Multiplexor. Recordemos el funcionamiento de un Multiplexor B 1. Control Z 0 A 1 B. Realicemos la siguiente modificación

Latch D con Multiplexor. Recordemos el funcionamiento de un Multiplexor B 1. Control Z 0 A 1 B. Realicemos la siguiente modificación Latch con Multiplexor Recordemos el funcionamiento de un Multiplexor A 0 B Control Realicemos la siguiente modificación Z Control Z 0 A B Z = Control. B + Control. A Latch Positivo Latch Negativo 0 0 Latch

Más detalles

Unidad 3: Circuitos digitales.

Unidad 3: Circuitos digitales. A-1 Appendix A - Digital Logic Unidad 3: Circuitos digitales. Diapositivas traducidas del libro Principles of Computer Architecture Miles Murdocca and Vincent Heuring Appendix A: Digital Logic A-2 Appendix

Más detalles

Practica No. 8 Introducción a las Máquinas de Estados. 1. En la figura 1 se muestra el comportamiento de un robot que evade obstáculos.

Practica No. 8 Introducción a las Máquinas de Estados. 1. En la figura 1 se muestra el comportamiento de un robot que evade obstáculos. Practica No. 8 Introducción a las Máquinas de Estados Objetivo: Familiarizar al alumno en el conocimiento de los algoritmos de las máquinas de estados. Desarrollo: Para cada uno de los siguientes apartados,

Más detalles

INFORMÁTICA INDUSTRIAL. 3º INGENIERÍA TÉCNICA ELECTRÓNICA TRABAJO TUTORADO DE VHDL. Primer Cuatrimestre Curso 2005/2006.

INFORMÁTICA INDUSTRIAL. 3º INGENIERÍA TÉCNICA ELECTRÓNICA TRABAJO TUTORADO DE VHDL. Primer Cuatrimestre Curso 2005/2006. INFORMÁTICA INDUSTRIAL. 3º INGENIERÍA TÉCNICA ELECTRÓNICA TRABAJO TUTORADO DE VHDL. Primer Cuatrimestre Curso 2005/2006. OBJETIVOS: Con este proyecto se pretende que el alumno practique y afiance los conocimientos

Más detalles

DESCRIPCIÓN DE CIRCUITOS DIGITALES

DESCRIPCIÓN DE CIRCUITOS DIGITALES DESCRIPCIÓN DE CIRCUITOS DIGITALES Circuitos combinacionales Circuitos secuenciales Organización del diseño. Diseño genérico Operaciones iterativas Autores: Luis Entrena, Celia López, Mario García, Enrique

Más detalles

Dado el siguiente circuito digital, encontrar la tabla característica y la tabla de operación del flip-flop correspondiente

Dado el siguiente circuito digital, encontrar la tabla característica y la tabla de operación del flip-flop correspondiente Un flip-flop "S-R Set-dominante" difiere del flip-flop S-R normal en que cuando S y R están a valor lógico 1 simultáneamente se realiza la operación de Set. Obtener la tabla de operación, la tabla característica

Más detalles

Electrónica Digital: Diseño y Lógica Secuencial

Electrónica Digital: Diseño y Lógica Secuencial Electrónica Digital: Diseño y Lógica Secuencial Profesor: Ing Andrés Felipe Suárez Grupo de Investigación en Percepción y Sistemas Inteligentes. Email: Andres.suarez@correounivalle.edu.co Tabla de Contenido

Más detalles

Práctica I Modelado y simulación de una máquina expendedora de refrescos

Práctica I Modelado y simulación de una máquina expendedora de refrescos Práctica I Modelado y simulación de una máquina expendedora de refrescos Departamento de Ingeniería Electrónica de Sistemas Informáticos y Automática 2 1.1. Objetivos. En esta práctica vamos a modelar

Más detalles

GUÍA PRÁCTICA DEL SOFTWARE ACTIVE-HDL.

GUÍA PRÁCTICA DEL SOFTWARE ACTIVE-HDL. 24/9/2013 ÁREA DE TECNOLOGÍA ELECTRÓNICA UBU GUÍA PRÁCTICA DEL SOFTWARE ACTIVE-HDL. Manual de Inicio Miguel Ángel Lozano Pérez Contenido 1.1 GUÍA DE SOFTWARE... 3 1.1.1 Primer paso: crear un diseño nuevo...

Más detalles

Diseño electrónico digital integrado: Back to basics. Sep-2011 F.Barbero Escuela Politécnica Superior - Universidad Autónoma de Madrid

Diseño electrónico digital integrado: Back to basics. Sep-2011 F.Barbero Escuela Politécnica Superior - Universidad Autónoma de Madrid Diseño electrónico digital integrado: Back to basics Sep-2011 F.Barbero Escuela Politécnica Superior - Universidad Autónoma de Madrid Flip-flop D con Reset Asíncrono Captura un dato con el flanco de reloj

Más detalles

Tema 4. Sistemas Secuenciales

Tema 4. Sistemas Secuenciales Tema 4. istemas ecuenciales Índice Conceptos básicos Biestables: concepto y tipos egistros Almacenamiento esplazamiento erie-erie / erie-paralelo Paralelo-erie / Paralelo-Paralelo Universales Contadores

Más detalles

VHDL. Lenguaje de descripción hardware

VHDL. Lenguaje de descripción hardware VHDL. Lenguaje de descripción hardware Modelado combinacional 26 A.G.O. All Rights Reserved Modelado combinacional El modelado de sistemas combinacionales es muy sencillo, puesto que en todo momento las

Más detalles

VI. Especificación del Comportamiento

VI. Especificación del Comportamiento VI. Especificación del Comportamiento 1 Introducción El objetivo de un sistema electrónico es transformar datos de entradas en resultados como salidas, esta clase de actividad es conocida como Comportamiento

Más detalles

Diseño de Sistemas Secuenciales Síncronos. Registros y contadores. Tema 9

Diseño de Sistemas Secuenciales Síncronos. Registros y contadores. Tema 9 Diseño de Sistemas Secuenciales Síncronos. Registros y contadores Tema 9 Al final de este tema sabrás... Diferenciar perfectamente entre sistema combinacional y secuencial Modelar sistemas secuenciales

Más detalles

Circuitos Secuenciales Autómatas

Circuitos Secuenciales Autómatas Circuitos Secuenciales Autómatas Apunte N 8 B iestables Un biestable es un dispositivo capaz de almacenar un bit ( ó ). Principio de funcionamiento de un biestable: Utilizando realimentación entre puertas

Más detalles

Electrónica Digital Departamento de Electrónica VHDL. Bioingeniería Facultad de Ingeniería - UNER

Electrónica Digital Departamento de Electrónica VHDL. Bioingeniería Facultad de Ingeniería - UNER Electrónica Digital Departamento de Electrónica VHDL Bioingeniería Facultad de Ingeniería - UNER VHDL VHSIC Hardware Design Language VHSIC Very High Speed Integrated Circuits Verilog Handel C Celóxica

Más detalles

FLIP-FLOP JK MAESTRO-ESCLAVO

FLIP-FLOP JK MAESTRO-ESCLAVO FLIP-FLOPS Introducción Uno de los elementos básicos de memoria son los llamado Flip Flops. El estado de un flip flop cambia por un cambio momentáneo en sus entradas. Este cambio se denomina disparo (trigger).

Más detalles

Introducción a los Circuitos Secuenciales LATCHES Y FLIP-FLOPS. Por: Carlos A. Fajardo

Introducción a los Circuitos Secuenciales LATCHES Y FLIP-FLOPS. Por: Carlos A. Fajardo Introducción a los Circuitos Secuenciales LATCHES Y FLIP-FLOPS Por: Carlos A. Fajardo cafajar@uis.edu.co Actualizado Julio de 2015 Circuitos Secuenciales Son circuitos digitales con memoria. Su salida

Más detalles

VHDL. Carlos Andrés Luna Vázquez. Lección 10 Problemas

VHDL. Carlos Andrés Luna Vázquez. Lección 10 Problemas Carlos Andrés Luna Vázquez Lección 10 Problemas 1 Índice Introducción Niveles de abstracción del modelado con HDL Estilos descriptivos del modelado con HDL Ventajas y limitaciones de los HDLs El lenguaje

Más detalles

DISEÑO DE CIRCUITOS SECUENCIALES

DISEÑO DE CIRCUITOS SECUENCIALES Sistemas Electrónicos y Automáticos PRÁCTICA 3 DISEÑO DE CIRCUITOS SECUENCIALES 1. OBJETIVO DE LA PRÁCTICA. El objetivo de la presente práctica consiste en modelar el comportamiento de un sistema secuencial

Más detalles

SISTEMAS DIGITALES VHDL

SISTEMAS DIGITALES VHDL SD SISTEMAS DIGITALES VHDL Fredy Hernán Riascos Campiño Practica 1a: Implementación de un incrementador: Se he de implementar un modulo incrementador (INC), Este modulo no es mas que un sumador y un registro

Más detalles

EL Sistemas Digitales

EL Sistemas Digitales EL-4002 Sistemas Digitales Circuitos Secuenciales Parte 1: Elementos de Memoria y Análisis de Circuitos Secuenciales Introducción a los Circuitos Secuenciales Un circuito Secuencial contiene: Elementos

Más detalles

5.1. Introducción a los sistemas digitales

5.1. Introducción a los sistemas digitales 5.. Introducción a los sistemas digitales 5... Sistemas digitales [ Wakerly.2 pág. 3] 5..2. Puertas y señales lógicas [ Wakerly.3 pág. 6] 5..3. Representación de la lógica digital [ Wakerly. pág. 9] 5..4.

Más detalles

Tutorial 2: Pasar de diagrama ASM a un circuito

Tutorial 2: Pasar de diagrama ASM a un circuito Tutorial 2: Pasar de diagrama ASM a un circuito Introducción En este segundo tutorial veremos cómo transformar nuestros diagramas ASM en circuitos que puedan ser implementados utilizando la placa DEO.

Más detalles

Sistemas Electrónicos Digitales. PRACTICA nº 3

Sistemas Electrónicos Digitales. PRACTICA nº 3 PRACTICA nº 3 Diseño top-down estructural y síntesis de un procesador dedicado elemental para resolver el cálculo del máximo común divisor (MCD) de dos números sin signo Objetivos: Análisis, diseño y síntesis

Más detalles

CURSO: Electrónica digital UNIDAD III: CIRCUITOS SECUENCIALES - TEORÍA

CURSO: Electrónica digital UNIDAD III: CIRCUITOS SECUENCIALES - TEORÍA www.ceduvirt.com CURSO: Electrónica digital UNIDAD III: CIRCUITOS SECUENCIALES - TEORÍA INTRODUCCIÓN SISTEMA SECUENCIAL Un sistema combinatorio se identifica por: 1. La salida del sistema debe ser estrictamente

Más detalles

Sistemas Electrónicos Digitales Curso de adaptación al Grado

Sistemas Electrónicos Digitales Curso de adaptación al Grado Práctica Práctica 2 Sistemas Electrónicos Digitales Curso de adaptación al Grado Sistemas combinacionales con VHDL Universidad de Alicante Ángel Grediaga 2 Índice INTRODUCCIÓN... 3 2 CIRCUITOS COMBINACIONALES...

Más detalles

Fundamentos de los Computadores Grado en Ingeniería Informática Análisis y diseño de sistemas secuenciales

Fundamentos de los Computadores Grado en Ingeniería Informática Análisis y diseño de sistemas secuenciales 5. Análisis y diseño de sistemas secuenciales (I) Fundamentos de los Computadores Grado en Ingeniería Informática Introducción Objetivos: Comprender el funcionamiento de un circuito secuencial partiendo

Más detalles

Unidad III Introducción a la lógica secuencial

Unidad III Introducción a la lógica secuencial Unidad III Introducción a la lógica secuencial Qué es un FLIP-FLOP. Es un biestable, también llamado báscula (flip-flop en inglés), es un multivibrador capaz de permanecer en un estado determinado o en

Más detalles

5. Decodificadores. Salida _1= A A A A = m = M ... Electrónica Digital. Tema

5. Decodificadores. Salida _1= A A A A = m = M ... Electrónica Digital. Tema 5. Decodificadores La función de un decodificador es la siguiente: ante una combinación concreta binaria de entrada (correspondiente a una combinación de algún código binario), activar una salida correspondiente

Más detalles

HOJA DE PROBLEMAS 8: ELEMENTOS DE MEMORIA

HOJA DE PROBLEMAS 8: ELEMENTOS DE MEMORIA f Universidad Rey Juan Carlos Grado en Ingeniería Informática Fundamentos de Computadores HOJA DE PROBLEMAS 8: ELEMENTOS DE MEMORIA 1. Se desea diseñar un circuito que calcule el bit de paridad par sobre

Más detalles

TEMA 1 FUNDAMENTOS DEL DISEÑO DEL HARDWARE DIGITAL

TEMA 1 FUNDAMENTOS DEL DISEÑO DEL HARDWARE DIGITAL TEMA 1 FUNDAMENTOS DEL DISEÑO DEL HARDWARE DIGITAL 1.1. Introducción 1.2. Lenguajes para la descripción de hardware 1.3. Ciclo de diseño de los circuitos digitales 1.4. Tecnologías de circuitos integrados

Más detalles

Titulación: Grado en Ingeniería Informática Asignatura: Fundamentos de Computadores

Titulación: Grado en Ingeniería Informática Asignatura: Fundamentos de Computadores Titulación: Grado en Ingeniería Informática Asignatura: Fundamentos de Computadores Bloque 1: Introducción Tema 2: Sistema binario de representación numérica Pablo Huerta Pellitero ÍNDICE Bibliografía.

Más detalles

LABORATORIO DE SISTEMAS DIGITALES. PRÁCTICA No. 8. Fecha: 03/07/ /07/2017

LABORATORIO DE SISTEMAS DIGITALES. PRÁCTICA No. 8. Fecha: 03/07/ /07/2017 LABORATORIO DE SISTEMAS DIGITALES PRÁCTICA No. 8 Fecha: 03/07/2017-07/07/2017 Escuela Politécnica nacional Tema: FLIP-FLOPS 1. Objetivos: Familiarizar al estudiante con la utilización y funcionamiento

Más detalles

Diseño VLSI. Diseño de elementos de memoria. Enric Pastor Dept. Arquitectura de Computadors UPC

Diseño VLSI. Diseño de elementos de memoria. Enric Pastor Dept. Arquitectura de Computadors UPC Diseño VLSI Diseño de elementos de memoria Enric Pastor Dept. Arquitectura de Computadors UPC Tipos de elementos de memoria Utilizados para almacenar información binaria (registros). Dependen de la sincronización

Más detalles

Estructura de VHDL. Sistemas Digitales Avanzados. Universidad Técnica Particular de Loja Prof: Diego Barragán Guerrero. Oct Feb.

Estructura de VHDL. Sistemas Digitales Avanzados. Universidad Técnica Particular de Loja Prof: Diego Barragán Guerrero. Oct Feb. Estructura de VHDL Sistemas Digitales Avanzados Universidad Técnica Particular de Loja Prof: Diego Barragán Guerrero Oct. 2014 - Feb. 2015 Sistemas Digitales Avanzados (UTPL) IET Oct. 2014 - Feb. 2015

Más detalles

Electrónica Digital Curso 2013/2014

Electrónica Digital Curso 2013/2014 Conocimientos previos Electrónica Digital Curso 2013/2014 En esta asignatura los contenidos que se imparten se basan en los conocimientos impartidos en las asignaturas Informática de primer curso y Electrónica

Más detalles

Universidad Autónoma de Querétaro Facultad de Ingeniería

Universidad Autónoma de Querétaro Facultad de Ingeniería Universidad Autónoma de Querétaro Facultad de Ingeniería Manual de Prácticas Sistemas Digitales con Lógica Reconfigurable (SDLRI) Que como parte de los requisitos para obtener el grado de Ingeniero en

Más detalles

Laboratorio de Arquitectura de Computadoras

Laboratorio de Arquitectura de Computadoras Laboratorio de Arquitectura de Computadoras CPU de cuatro instrucciones Oscar Alvarado Nava oan@azc.uam.mx Departamento de Electrónica División de Ciencias Básicas e Ingeniería Universidad Autónoma Metropolitana,

Más detalles

Cuatro Tipos de Flip-Flop en la GAL22V10

Cuatro Tipos de Flip-Flop en la GAL22V10 Cuatro Tipos de Flip-Flop en la GAL22V10 Un método para eliminar los estados transitorios inestables en el diseño de circuitos digitales secuenciales es el uso de flip-flops disparados por flanco, esto

Más detalles

DISEÑO DE PROCESADORES DEDICADOS. Práctica 6 LCD de Propósito General

DISEÑO DE PROCESADORES DEDICADOS. Práctica 6 LCD de Propósito General DISEÑO DE PROCESADORES DEDICADOS Instituto Politécnico Nacional Práctica 6 LCD de Propósito General Campo 1: Datos Personales. Centro de Innovación y Desarrollo Tecnológico en Cómputo CIDETEC Mayo 2015

Más detalles

TEMA 10. CIRCUITOS SECUENCIALES

TEMA 10. CIRCUITOS SECUENCIALES TEMA 10. CIRCUITOS SECUENCIALES http://www.tech-faq.com/wp-content/uploads/images/integrated-circuit-layout.jpg IEEE 125 Aniversary: http://www.flickr.com/photos/ieee125/with/2809342254/ 1 TEMA 10. CIRCUITOS

Más detalles

VHDL y el método de diseño basado en descripción y síntesis. RESUMEN.

VHDL y el método de diseño basado en descripción y síntesis. RESUMEN. VHDL y el método de diseño basado en descripción y síntesis. AUTOR : Pablo Mazzara. Grupo de Microelectrónica del IIE. Facultad de Ingeniería. Montevideo. Uruguay. e-mail mazzara@iie.edu.uy RESUMEN. Una

Más detalles

Practica No. 5 Diseño de un Multiplicador

Practica No. 5 Diseño de un Multiplicador Practica No. 5 Diseño de un Multiplicador Objetivo: Diseñar un módulo de multiplicación utilizando diferentes métodos, entender las ventajas y desventajas de cada uno de ellos. Aprender a usar procesos

Más detalles

Circuitos Secuenciales

Circuitos Secuenciales EL - 337 Página Agenda EL - 337 Página 2 Introducción El biestable de flip flops de flip flops tipo D de flip flops tipo T de flip flops tipo S-R de flip flops tipo J-K de circuitos Conclusiones Introducción

Más detalles

EN ESTE CIRCUITO NO HAY FORMA DE QUE LA SALIDA Q VUELVA A VALOR CERO 0, SIEMPRE QUEDA EN UNO 1

EN ESTE CIRCUITO NO HAY FORMA DE QUE LA SALIDA Q VUELVA A VALOR CERO 0, SIEMPRE QUEDA EN UNO 1 FF PINCIPIO t t pd t+ = ( + ) t Futuro Presente EN ETE CICUITO NO HAY FOMA DE UE LA ALIDA VUELVA A VALO CEO, IEMPE UEDA EN UNO. POPONEMO EL IGUIENTE CICUITO EL CUAL PEMITE UE LA ALIDA VAYA A CEO MEMOIA

Más detalles

CURSO: ELECTRÓNICA DIGITAL UNIDAD 3: SISTEMAS SECUENCIALES - TEORÍA PROFESOR: JORGE ANTONIO POLANÍA

CURSO: ELECTRÓNICA DIGITAL UNIDAD 3: SISTEMAS SECUENCIALES - TEORÍA PROFESOR: JORGE ANTONIO POLANÍA CURSO: ELECTRÓNICA DIGITAL UNIDAD 3: SISTEMAS SECUENCIALES - TEORÍA PROFESOR: JORGE ANTONIO POLANÍA Como vimos en la unidad anterior, un sistema combinatorio se identifica porque la salida del sistema

Más detalles

LABORATORIO DE CIRCUITOS DIGITALES (2005-II) SEGUNDA CLASE DE VHDL

LABORATORIO DE CIRCUITOS DIGITALES (2005-II) SEGUNDA CLASE DE VHDL LABORATORIO DE CIRCUITOS DIGITALES (25-II) SEGUNDA CLASE DE VHDL TIPOS y MODOS DE DATOS DESCRIPCIÓN CONCURRENTE Sentencias de asignación: with select, when - else DESCRIPCIÓN COMPORTAMENTAL Procesos asíncronos

Más detalles

TEMA 5.3 SISTEMAS DIGITALES

TEMA 5.3 SISTEMAS DIGITALES TEMA 5.3 SISTEMAS DIGITALES TEMA 5 SISTEMAS DIGITALES FUNDAMENTOS DE ELECTRÓNICA 08 de enero de 2015 TEMA 5.3 SISTEMAS DIGITALES Introducción Sistemas combinacionales Sistemas secuenciales TEMA 5.3 SISTEMAS

Más detalles

T7-SISTEMAS SECUENCIALES

T7-SISTEMAS SECUENCIALES Circuitos ecuenciales 1 T7-ITEMA ECUENCIALE Los circuitos lógicos se clasifican en dos tipos: Combinacionales, aquellos cuyas salidas sólo dependen de las entradas actuales. ecuenciales, aquellos cuyas

Más detalles

Practica No. 5 Diseño de un Multiplicador

Practica No. 5 Diseño de un Multiplicador Practica No. 5 Diseño de un Multiplicador Objetivo: Diseñar un módulo de multiplicación utilizando diferentes métodos, entender las ventajas y desventajas de cada uno de ellos. Aprender a usar procesos

Más detalles

Introducción Tipos de FF Ejercicios. Lógica Digital. Circuitos Secuenciales. Francisco García Eijó

Introducción Tipos de FF Ejercicios. Lógica Digital. Circuitos Secuenciales. Francisco García Eijó Circuitos Secuenciales Organización del Computador I Departamento de Computación - FCEyN UBA 13 de Abril del 2010 Agenda 1 Repaso 2 ué son los circuitos secuenciales? 3 Tipos de Flip-Flops 4 Ejercicios

Más detalles

Sistemas Secuenciales

Sistemas Secuenciales Electrónica Básica Sistemas Secuenciales Electrónica Digital José Ramón Sendra Sendra Dpto. de Ingeniería Electrónica y Automática ULPGC CIRCUITOS SECUENCIALES Combinacional: las salidas dependen de las

Más detalles

PRÁCTICA: LENGUAJE VHDL

PRÁCTICA: LENGUAJE VHDL PRÁCTICA: LENGUAJE Introducción Los lenguajes permiten manejar mejor grandes tamaños Los lenguajes son más flexibles que las tablas Los lenguajes son légibles por las máquinas más fácilmente que los gráficos

Más detalles

Lógica Secuencial. Circuitos Digitales, 2º de Ingeniero de Telecomunicación ETSIT ULPGC

Lógica Secuencial. Circuitos Digitales, 2º de Ingeniero de Telecomunicación ETSIT ULPGC Lógica Secuencial Circuitos Digitales, 2º de Ingeniero de Telecomunicación ETSIT ULPGC Componentes secuenciales Contienen elementos de memoria Los valores de sus salidas dependen de los valores en sus

Más detalles

Unidad 3: Circuitos digitales.

Unidad 3: Circuitos digitales. A- Appendix A - Digital Logic Unidad 3: Circuitos digitales. Diapositivas traducidas del libro Principles of Computer Architecture Miles Murdocca and Vincent Heuring Appendix A: Digital Logic 999 M. Murdocca

Más detalles

Introducción al VHDL. VHDL orientado a la síntesis de circuitos en Dispositivo Lógicos Programables

Introducción al VHDL. VHDL orientado a la síntesis de circuitos en Dispositivo Lógicos Programables Introducción al VHDL VHDL orientado a la síntesis de circuitos en Dispositivo Lógicos Programables Introducción Lenguaje de descripción de dispositivos Hardware. Diferencias con lenguajes de programación:

Más detalles

Sistemas Digitales - Examen temas 1, 2 y 3 - (6 de Abril 2016)

Sistemas Digitales - Examen temas 1, 2 y 3 - (6 de Abril 2016) Sistemas Digitales - Examen temas, 2 y 3 - (6 de Abril 206) EXAMEN RESUELTO Problema-. Modelo-A (Calificación 0 puntos) Se quiere diseñar un circuito digital, tal que, dado un número en código octal de

Más detalles

Comparador de 1 bit Tabla de verdad y circuito lógico A B A > B A = B A < B

Comparador de 1 bit Tabla de verdad y circuito lógico A B A > B A = B A < B Comparadores Índice Descripción Comparador de 1 bit Tabla de verdad Circuito lógico Comparador de N bits Circuito comercial 74LS85 Tabla de verdad Circuito lógico Comparador 8 bits serie Comparador 16

Más detalles

UNIVERSIDAD NACIONAL MAYOR DE SAN MARCOS FACULTAD DE INGENIERIA ELECTRONICA ESCUELA DE ELECTRONICA

UNIVERSIDAD NACIONAL MAYOR DE SAN MARCOS FACULTAD DE INGENIERIA ELECTRONICA ESCUELA DE ELECTRONICA UNIVERSIDAD NACIONAL MAYOR DE SAN MARCOS FACULTAD DE INGENIERIA ELECTRONICA ESCUELA DE ELECTRONICA LABORATORIO Nª2: Descripciòn VHDL utilizando el Estilo Algoritmico 1.-Implemente y simule el circuito

Más detalles

SENTENCIA LOOP 1.- Implementar y simular el siguiente circuito que cuenta el número de bits 1 que ingresan

SENTENCIA LOOP 1.- Implementar y simular el siguiente circuito que cuenta el número de bits 1 que ingresan UNIVERSIDAD NACIONAL MAYOR DE SAN MARCOS FACULTAD DE INGENIERIA ELECTRÓNICA ESCUELA DE ELECTRONICA Laboratorio N 3: Descripción VHDL utilizando Estilo Algorítmico-Parte II SENTENCIA LOOP 1.- Implementar

Más detalles

Lección 6. Circuitos Secuenciales. Ing. Luis Diego Murillo L1-Control Eléctrico 1

Lección 6. Circuitos Secuenciales. Ing. Luis Diego Murillo L1-Control Eléctrico 1 Lección 6 Circuitos Secuenciales Ing. Luis Diego Murillo L1-Control Eléctrico 1 Agenda Definiciones de lógica secuencial Modelos de circuitos secuenciales Elementos de memoria Cerrojos y Biestables S-R,

Más detalles

Tema 4. Elementos Lógicos Secuenciales

Tema 4. Elementos Lógicos Secuenciales Tema 4. Elementos Lógicos ecuenciales Introducción a los circuitos secuenciales síncronos y asíncronos. Latch -. Flip-flops de tipo clocked-latch. Flip-flops D, T, J-K. onversiones entre flip-flops. Estructuras

Más detalles

TEMA 12 Circuitos secuenciales

TEMA 12 Circuitos secuenciales TEMA 2 Circuitos secuenciales Introducción Biestable asíncrono Biestables síncronos Otros biestables Características temporales de los biestables Tema 2. Circuitos secuenciales - 2- Introducción Circuito

Más detalles

EIE SISTEMAS DIGITALES Tema 7: Latches, Flip-Flops y Temporizadores. Nombre del curso: Sistemas Digitales Nombre del docente: Héctor Vargas

EIE SISTEMAS DIGITALES Tema 7: Latches, Flip-Flops y Temporizadores. Nombre del curso: Sistemas Digitales Nombre del docente: Héctor Vargas EIE 446 - SISTEMAS DIGITALES Tema 7: Latches, Flip-Flops y Temporizadores Nombre del curso: Sistemas Digitales Nombre del docente: Héctor Vargas OBJETIVOS DE LA UNIDAD Utilizar puertas lógicas para construir

Más detalles

Síntesis de circuitos secuenciales síncronos: Máquinas de estados finitos

Síntesis de circuitos secuenciales síncronos: Máquinas de estados finitos Universidad Rey Juan Carlos Síntesis de circuitos secuenciales síncronos: Máquinas de estados finitos Norberto Malpica Ingeniería de Tecnologías Industriales Sistemas Electrónicos Digitales 24-25 Máquinas

Más detalles

Arquitectura de Computadores. Práctica 1: Diseño Hardware de Procesadores. Práctica 1/1

Arquitectura de Computadores. Práctica 1: Diseño Hardware de Procesadores. Práctica 1/1 Arquitectura de Computadores Práctica 1: Diseño Hardware de Procesadores Práctica 1/1 Práctica 1 Ejercicio 1: Modelado VHDL de la etapa IF en el procesador DLX32 Ejercicio 2: Ampliación Y Especialización

Más detalles

4.5. Procedimiento de síntesis

4.5. Procedimiento de síntesis 4.5. Procedimiento de síntesis En este apartado se resumen los pasos a seguir para completar la implementación de un sistema digital en un dispositivo programable: descripción del sistema mediante uno

Más detalles

Departamento de Ingeniería Electrónica E.T.S.I. Telecomunicación U.P.M. CIRCUITOS ELECTRÓNICOS PRUEBA ESCRITA Enero de 2015 Duración: 90 min.

Departamento de Ingeniería Electrónica E.T.S.I. Telecomunicación U.P.M. CIRCUITOS ELECTRÓNICOS PRUEBA ESCRITA Enero de 2015 Duración: 90 min. Departamento de Ingeniería Electrónica E.T.S.I. Telecomunicación U.P.M. CIRCUITOS ELECTRÓNICOS PRUEBA ESCRITA Enero de 2015 Duración: 90 min. - Marque la respuesta o respuestas correctas en la hoja adjunta

Más detalles

4. SUMADORES EN BINARIO PURO (I)

4. SUMADORES EN BINARIO PURO (I) TEMA 3: SISTEMAS ARITMÉTICOS Introducción y objetivos (3). Representación y codificación de la información (4-7) 2. Sistemas numéricos posicionales. Binario, hexadecimal, octal, y BCD. (8-33) 3. Números

Más detalles