Electrónica Analógica

Tamaño: px
Comenzar la demostración a partir de la página:

Download "Electrónica Analógica"

Transcripción

1 Prácticas de Electrónica Analógica 2º urso de Ingeniería de Telecomunicación Universidad de Zaragoza urso 1999 / 2000

2 PATIA 1. Amplificador operacional. Etapas básicas. Entramos en esta sesión en contacto con los circuitos integrados y en concreto con el amplificador operacional, del cual vamos a estudiar un amplio conjunto de etapas lineales. on el amplificador operacional 741, polarizado a +12 y -12 voltios, y con señales de 500Hz (en principio), se realizarán y comprobarán las etapas siguientes: 1.- Seguidor de tensión Amplificador no inversor con ganancia 1. Fijar una onda senoidal de 2V. en el generador de señales y cargar Offset null v - v + directamente sobre ella una resistencia de 470Ω comprobando lo que sucede. onectar luego la carga a través del seguidor de tensión, comprobando el resultado. 2.- Etapas no inversoras de ganancias 10 y 50 e inversora de ganancia 100 uando la ganancia es alta tiene importancia la tensión de offset VIO; se puede realizar la correspondiente compensación. Medir el ancho de banda de las etapas de ganancia 50 y Derivador Diseñarlo de forma que su ganancia para frecuencias de 500Hz sea la unidad y comprobar la diferenciación con onda senoidal, triangular y cuadrada. 4.- Integrador Diseñarlo con ganancia 1 para frecuencia de 1kHz, con 1 una resistencia >> 600Ω, para que no afecte al generador. onectar la resistencia 1 en paralelo con el condensador para evitar la saturación en continua. v alcularla de forma que permita integrar señales de más de I v 100Hz y que su error en continua sea menor que 50mV. o omprobar la integración sobre onda senoidal, triangular y cuadrada. Las ondas de entrada no deben tener la más mínima componente continua; comprobar, en concreto, la integración sobre una tensión continua positiva y sobre una negativa. 5.- Sumador Diseñarlo para ganancia 4 y comprobarlo, sumando: a) dos ondas senoidales de igual amplitud y frecuencias muy diferentes: una diez veces la otra, como mínimo. b) dos ondas senoidales de igual amplitud y frecuencias próximas. 6.- estador Diseñarlo para ganancia 2, y comprobarlo introduciendo primero una onda por una sola entrada y, luego, la misma onda por las dos entradas. V v + V + N V + v V v o 6 Offset null Prácticas de Electrónica Analógica 1

3 PATIA 2. Amplificadores de instrumentación Utilizando el A.O. LM324 y/o 741, alimentados a ± 12 V, realizar los siguientes circuitos: 1.- Amplificador de instrumentación Diseñar y montar el amplificador de instrumentación de la fig.1, con ganancia ajustable entre 2 y se compondrá de una resistencia A en serie con un potenciómetro B de 10K. Medir las ganancias en modo diferencial y en modo común, para los ajustes extremos del potenciómetro Vcc v1 4 LM Out 1 In 1- In 1+ Vcc+ v2 2.- onversor V/I Montar el conversor V-I de la fig. 2, con una relación i L / = -0,1mA/V, utilizando valores de 1 = 2 = 10K. a) omprobar la respuesta con cargas de 1K, 10K y 100K. b) Medir cuál es la intensidad máxima con cada una de las cargas anteriores y justificar los resultados. 3.- onversor I/V onectar la salida del circuito anterior, eliminando la conexión a tierra de la carga L, a un conversor I-V (fig. 3) con respuesta de -10V/mA. Usar cualquiera de los valores de L. a) omprobar la relación / del conjunto. b) uál es el máximo valor de tensión a la salida y por qué? I L L Fig. 2 Fig. 3 Prácticas de Electrónica Analógica 2

4 PATIA 3. Amplificador operacional. omparadores. on el amplificador operacional 741, polarizado a + 12 y - 12 voltios, se diseñarán, montarán y comprobarán las etapas indicadas abajo. Para visualizar su salida, se utilizará un LED, cuando trabajemos a frecuencias bajas (del orden de 1Hz), y el osciloscopio para frecuencias más altas. Se observará la tensión de entrada en el osciloscopio para medir las tensiones de conmutación. Las variaciones de la tensión de entrada para los comparadores pueden +12 obtenerse de dos maneras: a) Mediante un potenciómetro de 10K conectado entre +12 y -12V para variar manualmente la tensión de entrada de forma lenta (fig. 1), y visualizar la respuesta con un LED. b) Mediante el generador de señales, usando una onda triangular del orden de 1kHz de frecuencia para poder visualizar la respuesta con el osciloscopio. 1.- omparador de nivel a) Utilizando señal senoidal, comprobar su comportamiento como discriminador de polaridad de la tensión (comparador con 0). b) Mediante una onda cuadrada a la entrada, determinar el tiempo de conmutación de la salida y, por tanto, la frecuencia máxima de utilización del operacional como comparador. 2.- omparador de ventana Montar un comparador de ventana con umbrales de 4 y 6 voltios. Dichas tensiones se pueden obtener mediante sendos divisores de tensión de la alimentación de +12V. omprobar el funcionamiento y medir las tensiones umbrales obtenidas. 3.- omparador con histéresis no inversor a) Diseñarlo para tensiones de comparación de +4 y -4V y visualizar su funcionamiento mediante un LED. b) Utilizando la representación X-Y del osciloscopio, observar su función de transferencia / v i. 4.- omparador con histéresis inversor Diseñarlo para una anchura del ciclo de histéresis de 6V. onectar la resistencia 1 a un potenciómetro entre +12 y -12V, según la figura, para poder aplicar una tensión continua V ajustable al comparador. a) Visualizar el funcionamiento de la etapa, al variar la tensión de referencia V mediante el potenciómetro. omprobar que la +12V anchura del ciclo no se modifica. V b) Utilizando la representación X-Y del osciloscopio, observar su función de transferencia / v i (el lazo de histéresis) y su 1 2 desplazamiento mediante V. -12V 10K -12 Prácticas de Electrónica Analógica 3

5 PATIA 4. Generadores de onda. on el amplificador operacional 741, polarizado a +12 y -12 voltios, se diseñarán, montarán y comprobarán las etapas indicadas abajo. 1.- Astable a) Diseñarlo para frecuencia de 1kHz con = 100K, y observar su funcionamiento mediante el osciloscopio (fig. 1). b) Situar en paralelo con, una resistencia ' << en serie con un diodo (1N4148), y observar el comportamiento de la etapa como generador de pulsos. 2.- Temporizador Montar un monoestable de 10 segundos de anchura de pulso y disparo manual (fig. 2). omprobar el periodo de temporización. a) omprobar qué problema presenta este circuito si se redispara el monoestable nada más acabar la temporización. b) Modificar el circuito para evitar dicho problema, es decir, para que el monoestable sea redisparable al instante de acabar la temporización. c) Modificar el circuito para que el monoestable genere un pulso negativo. 3.- Generador de señal triangular a) Diseñar un generador de onda triangular y cuadrada de frecuencia 500Hz y amplitud 6V. Visualizar las señales de salida de ambos AO con el osciloscopio. b) Modificar el circuito para poder modificar el nivel de continua de la señal triangular mediante un potenciómetro. Fig Generador de señal de barrido a) Modificar el circuito anterior para generar una señal en diente de sierra con tiempos de 1 ms para la rampa descendente y de 50 µs para la rampa ascendente. b) Utilizar este circuito como conversor tensión-frecuencia (fig. 3) y medir la relación de conversión (Hz/V). La tensión a convertir se puede obtener de un potenciómentro conectado entre la alimentación y tierra. AO1 2 V EXT 1 ' ' << Fig. 3 AO2 Prácticas de Electrónica Analógica 4

6 PATIA 5. ectificadores de precisión y osciladores 1.- ectificador de media onda de precisión a) Montar el rectificador de media onda de precisión de la fig. 1. omprobar para señales pequeñas la diferencia con un rectificador realizado con diodo. b) Observar el error entre entrada y salida producido para frecuencias elevadas (p. ej. 1kHz). A qué es debido? v A L 2.- ectificador de onda completa de precisión Montar el rectificador de media onda de precisión de la fig. 2. omprobar para señales pequeñas la diferencia con un rectificador realizado con puente de diodos. v A /2 D1 D2 Fig Osciladores a) onstruir un oscilador en puente de Wien (fig. 3) de frecuencia 1kHz. Ajustar la ganancia del oscilador mediante un potenciómetro de 10K en serie con la resistencia 2. 1 f o= 2 π b) onstruir un oscilador de retardo de fase (fig. 4) de frecuencia 1kHz. Ajustar la ganancia del oscilador mediante un potenciómetro en serie con la resistencia 2. 1 f o= 2 π Fig. 3 Fig. 4 Prácticas de Electrónica Analógica 5

7 PATIA 6. Limitaciones de las etapas lineales con AO. Se pretende conocer algunas limitaciones del amplificador operacional, su importancia y la forma de superarlas. En la segunda parte nos centramos en la realización de una etapa de potencia, obviando la limitación de intensidad. Utilizando el AO 741, alimentado entre +15 y -15V, observar los siguientes parámetros, y medirlos con la mayor precisión posible: 1.- orriente de polarización de entrada 1M a) Medir la tensión en el seguidor de tensión de la fig. 1, con la entrada no inversora conectada a masa. Deducir el valor de la corriente de polarización. b) Añadir una resistencia de 1M para compensar el efecto de la corriente de polarización y observar la compensación. v i 2.- Tensiones máximas de salida, positiva y negativa Montar una etapa no inversora de ganancia 5. a) Aplicar una señal de baja frecuencia para no superponer el efecto del slew-rate (p. ej. 500Hz). Medir las excursiones máximas de la salida con carga L de 10K y de 1K. b) Observar la limitación adicional de la tensión de salida al aumentar la frecuencia. c) Medir el slew-rate del AO. 3.- Intensidad máxima de salida Fijar una onda de salida de 8V de pico, 500Hz, en la etapa del apartado anterior sin resistencia de carga. A continuación colocar una carga L = 100Ω, medir la tensión de salida y deducir las intensidades máximas de salida del amplificador operacional. 4.- Etapa de potencia con amplificador operacional Montar el circuito de la fig. 2: amplificador no inversor de ganancia 5, con etapa de salida en simetría complementaria. a) omprobar que supera la limitación en intensidad del AO. b) Medir la ganancia de la etapa. c) Medir la máxima potencia que suministra y el rendimiento máximo de la etapa. d) Se observa distorsión de cruce por cero? azonar qué ocurre al aumentar la frecuencia. 5K6 v i BD533 / K V + BD533 BD538 L 100 Ω 4W e) Observar la distorsión de cruce por cero si se toma la realimentación del AO de las bases de los transistores. B E Fig. 2 V - Prácticas de Electrónica Analógica 6

Contenido. Capítulo 2 Semiconductores 26

Contenido. Capítulo 2 Semiconductores 26 ROMANOS_MALVINO.qxd 20/12/2006 14:40 PÆgina vi Prefacio xi Capítulo 1 Introducción 2 1.1 Las tres clases de fórmulas 1.5 Teorema de Thevenin 1.2 Aproximaciones 1.6 Teorema de Norton 1.3 Fuentes de tensión

Más detalles

TEMA: OPERADOR COMO COMPARADOR

TEMA: OPERADOR COMO COMPARADOR TEMA: OPERADOR COMO COMPARADOR Objetivo: Utilizar el opam como controlador en sistemas de control todo o nada. Explicar cómo funciona un comparador y describir la importancia del punto de referencia. Describir

Más detalles

Laboratorio Amplificador Diferencial Discreto

Laboratorio Amplificador Diferencial Discreto Objetivos Laboratorio mplificador Diferencial Discreto Verificar el funcionamiento de un amplificador discreto. Textos de Referencia Principios de Electrónica, Cap. 17, mplificadores Diferenciales. Malvino,

Más detalles

ELECTRONICA GENERAL. Tema 6. El Amplificador Operacional. 1.- En un amplificador operacional ideal, el CMRR es a) Infinito b) Cero c) 3dB

ELECTRONICA GENERAL. Tema 6. El Amplificador Operacional. 1.- En un amplificador operacional ideal, el CMRR es a) Infinito b) Cero c) 3dB Tema 6. El Amplificador Operacional. 1.- En un amplificador operacional ideal, el CMRR es a) Infinito b) Cero c) 3dB 2.- La realimentación negativa: a) Desestabiliza la ganancia del sistema, haciéndolo

Más detalles

El pequeño círculo de la NO-O aporta un NO funcional a la salida, de modo que invierte los estados de la misma.

El pequeño círculo de la NO-O aporta un NO funcional a la salida, de modo que invierte los estados de la misma. Diapositiva 1 Diapositiva 2 Este problema se ha incluido en el trabajo para casa, por lo que no se resolverá por completo aquí. Nótese que: (1) la salida será o + o V cc, (2) hay realimentación positiva,

Más detalles

Práctica 5. Demodulador FSK mediante PLL

Práctica 5. Demodulador FSK mediante PLL Práctica 5. Demodulador FS mediante PLL 5.. Objetivos Estudiar el funcionamiento de un PLL y su aplicación para la demodulación de una señal modulada FS. 5.. El PLL LM565 El LM565 es un circuito de fase

Más detalles

Electrónica II. Guía 4

Electrónica II. Guía 4 Electrónica II. Guía 4 1 Facultad: Ingeniería. Escuela: Electrónica. Asignatura: Electrónica II. Lugar de ejecución: Fundamentos Generales (Edificio 3, 2da planta). COMPARADORES Objetivo General Verificar

Más detalles

2 Electrónica Analógica TEMA II. Electrónica Analógica

2 Electrónica Analógica TEMA II. Electrónica Analógica TEMA II Electrónica Analógica Electrónica II 2007 1 2 Electrónica Analógica 2.1 Amplificadores Operacionales. 2.2 Aplicaciones de los Amplificadores Operacionales. 2.3 Filtros. 2.4 Transistores. 2 1 2.1

Más detalles

SIFeIS. CONCAyNT PLANTA EXTERIOR E IPR. CONCAyNT ELECTRÓNICA

SIFeIS. CONCAyNT PLANTA EXTERIOR E IPR. CONCAyNT ELECTRÓNICA ELECTRÓNICA PLANTA EXTERIOR E IPR GUÍA DE ESTUDIOS DE ELECTRÓNICA PARA IPR Un agradecimiento especial al Co. FRANCISCO HERNANDEZ JUAREZ por la oportunidad y el apoyo para realizar este trabajo, así como

Más detalles

elab 3D Práctica 2 Diodos

elab 3D Práctica 2 Diodos UNIVERSIDAD POLITÉCNICA DE MADRID ESCUELA TECNICA SUPERIOR DE INGENIERIA Y SISTEMAS DE TELECOMUNICACIÓN elab 3D Práctica 2 Diodos Curso 2013/2014 Departamento de Sistemas Electrónicos y de Control 1. Introducción

Más detalles

Práctica No. 4 del Curso "Meteorología y Transductores". "Comparadores y generador PWM"

Práctica No. 4 del Curso Meteorología y Transductores. Comparadores y generador PWM Objetivos. Práctica No. 4 del Curso "Meteorología y Transductores". "Comparadores y generador PWM" Comprobar en forma experimental el funcionamiento de los comparadores con Histéresis, así como el circuito

Más detalles

Componentes Electrónicos. Prácticas - Laboratorio. Práctica 2: Diodos

Componentes Electrónicos. Prácticas - Laboratorio. Práctica 2: Diodos Prácticas Laboratorio Práctica 2: Diodos Ernesto Ávila Navarro Práctica 2: Diodos (Montaje y medida en laboratorio) Índice: 1. Material de prácticas 2. Medida de las características del diodo 2.2. Diodo

Más detalles

COMPONENTES ELECTRÓNICOS

COMPONENTES ELECTRÓNICOS UD 2.- COMPONENTES ELECTRÓNICOS 2.1. RESISTENCIA FIJA O RESISTOR 2.2. RESISTENCIAS VARIABLES 2.3. EL RELÉ 2.4. EL CONDENSADOR 2.5. EL DIODO 2.6. EL TRANSISTOR 2.7. MONTAJES BÁSICOS CON COMPONENTES ELECTRÓNICOS

Más detalles

Clasificación de los Convertidores DAC

Clasificación de los Convertidores DAC Clasificación de los Convertidores DAC Sistemas de Adquisición de datos () Según las características de la señal de entrada digital Codificación: Código: Binario Natural BCD Formato: Serie Paralelo Almacenamiento

Más detalles

GENERADOR DE PULSOS CON 555

GENERADOR DE PULSOS CON 555 GENERADOR DE PULSOS CON 555 El generador de pulsos es ampliamente utilizado en aplicaciones digitales como el corazón del circuito ya que permite que estos funcionen. También se puede utilizar como modulador

Más detalles

Oscar Ignacio Botero H. Diana Marcela Domínguez P. SIMULADOR PROTEUS MÓDULO. VIRTUAL INSTRUMENTS MODE: (Instrumentos virtuales)

Oscar Ignacio Botero H. Diana Marcela Domínguez P. SIMULADOR PROTEUS MÓDULO. VIRTUAL INSTRUMENTS MODE: (Instrumentos virtuales) SIMULADOR PROTEUS MÓDULO VIRTUAL INSTRUMENTS MODE: (Instrumentos virtuales) En éste modo se encuentran las siguientes opciones 1. VOLTÍMETROS Y AMPERÍMETROS (AC Y DC) Instrumentos que operan en tiempo

Más detalles

SENSORES Y ACONDICIONADORES TEMA 15 (2) CIRCUITOS ACONDICIONADORES DE SENSORES ANALÓGICOS

SENSORES Y ACONDICIONADORES TEMA 15 (2) CIRCUITOS ACONDICIONADORES DE SENSORES ANALÓGICOS SENSORES Y ACONDICIONADORES TEMA 15 (2) CIRCUITOS ACONDICIONADORES DE SENSORES ANALÓGICOS CIRCUITOS DE EXCITACIÓN, CONVERTIDORES DE PARÁMETRO Y CONVERTIDORES DE FORMATO Profesores: Enrique Mandado Pérez

Más detalles

Mantenimiento de equipos electrónicos. El generador de funciones y el generador de baja frecuencia.

Mantenimiento de equipos electrónicos. El generador de funciones y el generador de baja frecuencia. Mantenimiento de equipos electrónicos El generador de funciones y el generador de baja frecuencia 1/11 Aplicaciones de los generadores de funciones y generadores de baja frecuencia y diferencias entre

Más detalles

PRÁCTICAS DE ELECTRÓNICA 4º E.S.O.

PRÁCTICAS DE ELECTRÓNICA 4º E.S.O. PRÁCTICAS DE ELECTRÓNICA 4º E.S.O. DEPARTAMENTO DE TECNOLOGÍA I.E.S. SEFARAD www.tecnosefarad.com ALUMNO/A: GRUPO: 1. INTRODUCCIÓN Las prácticas se realizarán de la siguiente manera: En este cuaderno se

Más detalles

1 Introducción y definiciones

1 Introducción y definiciones PRÁCTICA 7: DISEÑO Y ERIFICACIÓN DE INERSOR ALIMENTADO POR TENSIÓN EN PUENTE COMPLETO CON DISTINTAS TÉCNICAS DE MODULACIÓN DE ANCHURA DE PULSO Alumnos: Grupo: OBJETIO: Introducción al alumno a la caracterización

Más detalles

LABORATORIO DE FÍSICA

LABORATORIO DE FÍSICA LABORATORIO DE FÍSICA OBJETIVO DE LA PRÁCTICA Fuente de c.c. MATERIAL Analizar el comportamiento y funcionamiento de diferentes diodos (silicio, germanio y Zener). Efecto válvula. Efecto rectificador.

Más detalles

Escuela Politécnica Superior Ingeniero Técnico Industrial, especialidad Electrónica Industrial Electrónica de Potencia. Nombre y apellidos:

Escuela Politécnica Superior Ingeniero Técnico Industrial, especialidad Electrónica Industrial Electrónica de Potencia. Nombre y apellidos: Escuela Politécnica Superior Ingeniero Técnico Industrial, especialidad Electrónica Industrial Electrónica de Potencia Fecha: 20-12-2011 Nombre y apellidos: Duración: 2h DNI: Elegir la opción correcta

Más detalles

5 PULSO MULTIPLE REFERENCIA SENOIDAL MODIFICADA 6 PARAMETROS DE EFICIENCIA

5 PULSO MULTIPLE REFERENCIA SENOIDAL MODIFICADA 6 PARAMETROS DE EFICIENCIA Control de Máquinas Eléctricas Primavera 2009 INTRODUCCION 1 CIRCUITOS DE CONTROL 2 PULSO UNICO 3 PULSO MULTIPLE REFERENCIA CONSTANTE 4 PULSO MULTIPLE REFERENCIA SENOIDAL 5 PULSO MULTIPLE REFERENCIA SENOIDAL

Más detalles

TECNOLOGÍA - 4º ESO PRÁCTICAS DE ELECTRÓNICA

TECNOLOGÍA - 4º ESO PRÁCTICAS DE ELECTRÓNICA TECNOLOGÍA 4º ESO PRÁCTICAS DE ELECTRÓNICA RESISTENCIAS (TRABAJO EN GRUPO) Miembros del grupo:........ 1. Determina el valor de cada una de las resistencias que te ha entregado el profesor. Para ello debes,

Más detalles

PRÁCTICA 3. OSCILOSCOPIOS HM 604 Y HM 1004 (III): TEST DE COMPONENTES Y MODULACIÓN EN FRECUENCIA.

PRÁCTICA 3. OSCILOSCOPIOS HM 604 Y HM 1004 (III): TEST DE COMPONENTES Y MODULACIÓN EN FRECUENCIA. PRÁCTICA 3. OSCILOSCOPIOS HM 604 Y HM 1004 (III): TEST DE COMPONENTES Y MODULACIÓN EN FRECUENCIA. 3.1.- Objetivos: Realización de test de componentes activos y pasivos para obtener, a partir de la curva

Más detalles

El sistema a identificar es el conjunto motor eléctrico-freno siguiente:

El sistema a identificar es el conjunto motor eléctrico-freno siguiente: Sistema a identificar El sistema a identificar es el conjunto motor eléctrico-freno siguiente: Relación entrada-salida Las variables de entrada-salida a considerar para la identificación del sistema es

Más detalles

AÑO DE LA INTEGRACIÓN NACIONAL Y EL RECONOCIMIENTO DE NUESTRA DIVERSIDAD

AÑO DE LA INTEGRACIÓN NACIONAL Y EL RECONOCIMIENTO DE NUESTRA DIVERSIDAD AÑO DE LA INTEGRACIÓN NACIONAL Y EL RECONOCIMIENTO DE NUESTRA DIVERSIDAD UNIVERSIDAD NACIONAL SAN LUIS GONZAGA DE ICA FACULTAD DE INGENIERÍA MECÁNICA Y ELÉCTRICA ESCUELA ACADÉMICA PROFESIONAL DE INGENIERÍA

Más detalles

EXP207 REGLAS DE FUNCIONAMIENTO EN OP-AMPS.

EXP207 REGLAS DE FUNCIONAMIENTO EN OP-AMPS. EXP207 REGLAS DE FUNCIONAMIENTO EN OP-AMPS. I.- OBJETIVOS. Comprobar experimentalmente las reglas de funcionamiento líneas del amplificador lineal del amplificador operacional. Comprobar el funcionamiento

Más detalles

CAPITULO VI: Generadores de Sonido

CAPITULO VI: Generadores de Sonido CAPITULO VI GENERADORES DE SONIDOS GENERADOR DE CODIGO MORSE En el circuito de la fig. 6.1 se observa un 555 en configuración de multivibrador astable, funcionando como un práctico oscilador para código

Más detalles

Práctica 4. LABORATORIO

Práctica 4. LABORATORIO Práctica 4. LABORATORIO Electrónica de Potencia Convertidor DC/DC Cúk 1. Diagrama de Bloques En esta práctica, el alumnado debe implementar un convertidor DC/DC tipo Cúk. En la Fig1 se muestra el diagrama

Más detalles

Esquemas. CIRCUITO DE REGULACIÓN DE INTENSIDAD. Toda buena fuente debe tener una

Esquemas. CIRCUITO DE REGULACIÓN DE INTENSIDAD. Toda buena fuente debe tener una Una fuente de alimentación es uno de los instrumentos más necesarios para un laboratorio o taller de electrónica, siempre que tenga unas características de regulación de tensión y corriente adecuadas para

Más detalles

DISPOSITIVOS ELECTRÓNICOS II

DISPOSITIVOS ELECTRÓNICOS II CURSO 2010- II Profesores: Miguel Ángel Domínguez Gómez Despacho 222, ETSI Industriales Camilo Quintáns Graña Despacho 222, ETSI Industriales Fernando Machado Domínguez Despacho 229, ETSI Industriales

Más detalles

Centro universitario UAEM Zumpango. Ingeniería en Computación. Semestre: Sexto. Docente: M. en C. Valentín Trujillo Mora

Centro universitario UAEM Zumpango. Ingeniería en Computación. Semestre: Sexto. Docente: M. en C. Valentín Trujillo Mora Centro universitario UAEM Zumpango. Ingeniería en Computación. Semestre: Sexto Unidad de aprendizaje: Electrónica Digital(L41088 ) Unidad de Competencia: Unidad 3 TEMA: 3.1, 3.2, 3.3, 3.4 y 3.5 Docente:

Más detalles

Sistemas Elec. Digitales. Instrumentación del laboratorio. Pag. 1 1. INSTRUMENTACIÓN DEL LABORATORIO.

Sistemas Elec. Digitales. Instrumentación del laboratorio. Pag. 1 1. INSTRUMENTACIÓN DEL LABORATORIO. Sistemas Elec. Digitales. Instrumentación del laboratorio. Pag. 1 1. INSTRUMENTACIÓN DEL LABORATORIO. Sistemas Elec. Digitales. Instrumentación del laboratorio. Pag. 2 1.1. Fuente de alimentación CPS250

Más detalles

Electrónica 2. Práctico 3 Alta Frecuencia

Electrónica 2. Práctico 3 Alta Frecuencia Electrónica 2 Práctico 3 Alta Frecuencia Los ejercicios marcados con son opcionales. Además cada ejercicio puede tener un número, que indica el número de ejercicio del libro del curso (Microelectronic

Más detalles

SIMULACIÓN CON PROTEUS

SIMULACIÓN CON PROTEUS UNIVERSIDAD DEL VALLE ESCUELA DE INGENIERIA ELÉCTRICA Y ELÉCTRONICA CÁTEDRA DE PERCEPCIÓN Y SISTEMAS INTELIGENTES LABORATORIO 2: PROTEUS 1. OBJETIVOS SIMULACIÓN CON PROTEUS Introducir al estudiante en

Más detalles

INTRODUCCIÓN A LA CORRIENTE ALTERNA. USO DEL OSCILOSCOPIO

INTRODUCCIÓN A LA CORRIENTE ALTERNA. USO DEL OSCILOSCOPIO INTRODUCCIÓN A LA CORRIENTE ALTERNA. USO DEL OSCILOSCOPIO OBJETIVO Estudio de las diferentes partes de un osciloscopio y realización de medidas con este instrumento. Introducción Un osciloscopio consta

Más detalles

[PRÁCTICAS DE SIMULACIÓN ELECTRÓNICA]

[PRÁCTICAS DE SIMULACIÓN ELECTRÓNICA] 2013 [PRÁCTICAS DE SIMULACIÓN ELECTRÓNICA] 3º E.S.O. PRACTICA Nº 1. RESISTENCIAS VARIABLES POTENCIÓMETRO Monta los circuitos de la figura y observa que ocurre cuando el potenciómetro es de 100Ω, de 1kΩ

Más detalles

Circuitos no lineales con amplificador operacional Guía 8 1/7

Circuitos no lineales con amplificador operacional Guía 8 1/7 1/7 ELECTRÓNICA ANALÓGICA II Guía de problemas Nº 8 Circuitos no lineales con amplificador operacional Problemas básicos 1. El comparador de la figura 1 tiene una ganancia a lazo abierto de 110 db. Cuánto

Más detalles

NOTA: Este documento se ha realizado intencionalmente con un formato de borrador.

NOTA: Este documento se ha realizado intencionalmente con un formato de borrador. NOTA: Este documento se ha realizado intencionalmente con un formato de borrador. Las características básicas del diseño del osciloscopio son las siguientes: La impedancia de entrada tiene que ser de 1

Más detalles

El amplificador operacional en bucle abierto (sin realimentar) se comporta como un comparador analógico simple.

El amplificador operacional en bucle abierto (sin realimentar) se comporta como un comparador analógico simple. Comparador simple El amplificador operacional en bucle abierto (sin realimentar) se comporta como un comparador analógico simple. Vo +Vcc Vi-Vref El comparador analógico se denomina también ADC de un bit.

Más detalles

Práctica No. 3 del Curso "Meteorología y Transductores". "Comparadores y generador PWM"

Práctica No. 3 del Curso Meteorología y Transductores. Comparadores y generador PWM Objetivos. Práctica No. 3 del Curso "Meteorología y Transductores". "Comparadores y generador PWM" Comprobar en forma experimental el funcionamiento de los comparadores con Histéresis, así como el circuito

Más detalles

Programa de Tecnologías Educativas Avanzadas. Bach. Pablo Sanabria Campos

Programa de Tecnologías Educativas Avanzadas. Bach. Pablo Sanabria Campos Programa de Tecnologías Educativas Avanzadas Bach. Pablo Sanabria Campos Agenda Conceptos básicos. Relación entre corriente, tensión y resistencia. Conductores, aislantes y semiconductores. Elementos importantes

Más detalles

CURSO: ELECTRÓNICA BÁSICA UNIDAD 2: AMPLIFICADOR DE POTENCIA TEORÍA PROFESOR: JORGE ANTONIO POLANÍA INTRODUCCIÓN

CURSO: ELECTRÓNICA BÁSICA UNIDAD 2: AMPLIFICADOR DE POTENCIA TEORÍA PROFESOR: JORGE ANTONIO POLANÍA INTRODUCCIÓN CURSO: ELECTRÓNICA BÁSICA UNIDAD 2: AMPLIFICADOR DE POTENCIA TEORÍA PROFESOR: JORGE ANTONIO POLANÍA INTRODUCCIÓN En un sistema de amplificación que entrega una cantidad considerable de potencia, las ganancias

Más detalles

TRABAJO PRÁCTICO NÚMERO 3: Diodos II. Construir y estudiar un circuito rectificador de media onda y un circuito rectificador de onda completa.

TRABAJO PRÁCTICO NÚMERO 3: Diodos II. Construir y estudiar un circuito rectificador de media onda y un circuito rectificador de onda completa. TRABAJO PRÁCTICO NÚMERO 3: Diodos II Diodo como rectificador Objetivos Construir y estudiar un circuito rectificador de media onda y un circuito rectificador de onda completa. Introducción teórica De la

Más detalles

TEMA 6: Amplificadores con Transistores

TEMA 6: Amplificadores con Transistores TEMA 6: Amplificadores con Transistores Contenidos del tema: El transistor como amplificador. Característica de gran señal Polarización. Parámetros de pequeña señal Configuraciones de amplificadores con

Más detalles

Proyecto de Electrónica. Contador digital de 0 a 9

Proyecto de Electrónica. Contador digital de 0 a 9 Proyecto de Electrónica Contador digital de 0 a 9 La finalidad del proyecto consiste en mostrar en un display un conteo de cero a nueve, donde la velocidad de conteo podrá ser regulada. Componentes a utilizar

Más detalles

Laboratorio Nº3. Procesamiento de señales con transistores

Laboratorio Nº3. Procesamiento de señales con transistores Laboratorio Nº3 Procesamiento de señales con transistores Objetivos iseñar redes de polarización para operar transistores JT y JFT en modo activo, y evaluar la estabilidad térmica de puntos de operación,

Más detalles

INVERSORES RESONANTES

INVERSORES RESONANTES 3 INVERSORES RESONANTES 3.1 INTRODUCCIÓN Los convertidores de CD a CA se conocen como inversores. La función de un inversor es cambiar un voltaje de entrada en CD a un voltaje simétrico de salida en CA,

Más detalles

MODELOS DE PEQUEÑA SEÑAL: EL MODELO HÍBRIDO π Se eliminan las fuentes DC. El modelo también aplica para transistores pnp sin cambio de polaridades

MODELOS DE PEQUEÑA SEÑAL: EL MODELO HÍBRIDO π Se eliminan las fuentes DC. El modelo también aplica para transistores pnp sin cambio de polaridades MODELOS DE PEQUEÑA SEÑAL: EL MODELO HÍBRIDO π Se eliminan las fuentes DC El modelo también aplica para transistores pnp sin cambio de polaridades MODELOS DE PEQUEÑA SEÑAL: EL MODELO T Se eliminan las fuentes

Más detalles

Electrónica 5 EM ITS Lorenzo Massa Pagina 1 Unidad 6 - Ing. Juan Jesús Luna

Electrónica 5 EM ITS Lorenzo Massa Pagina 1 Unidad 6 - Ing. Juan Jesús Luna Electrónica 5 EM ITS Lorenzo Massa Pagina 1 Unidad 6: Amplificadores Operacionales 1 Introducción: El amplificador operacional (en adelante, op-amp) es un tipo de circuito integrado que se usa en un sinfín

Más detalles

Web:

Web: FACULTAD POLITÉCNICA DIRECCIÓN ACADÉMICA I. IDENTIFICACIÓN PROGRAMA DE ESTUDIO Carrera : Ingeniería Eléctrica CARGA HORARIA - (Horas reloj) Asignatura : Electrónica Básica Carga Horaria Semestral 75 Semestre

Más detalles

P R O G R A M A C I Ó N D E M Ó D U L O Í N D I C E

P R O G R A M A C I Ó N D E M Ó D U L O Í N D I C E NOMBRE DEL CENTRO I.E.S. ARUCAS DOMINGO RIVERO CURSO 2012-2013 DEPARTAMENTO Electricidad CICLO FORMATIVO Instalaciones Eléctricas y Automáticas NIVEL Vº Bº Jefe/a Departamento: Firmado: Profesores/as:

Más detalles

Tema: Uso del analizador espectral.

Tema: Uso del analizador espectral. Sistemas de Comunicación I. Guía 1 1 I Facultad: Ingeniería Escuela: Electrónica Asignatura: Sistemas de comunicación Tema: Uso del analizador espectral. Objetivos Conocer el funcionamiento de un Analizador

Más detalles

Prácticas Presenciales

Prácticas Presenciales PRÁCTICAS PRESENCIALES ELECTRÓNICA DE POTENCIA Prácticas Presenciales Electrónica de Potencia Área: Electrónica LUGAR DE CELEBRACIÓN Instalaciones de Fundación San Valero, en c/ Violeta Parra 9 50015 Zaragoza

Más detalles

1.-Relé. 2.-Condensador. 3.-LED. 4.-Piezoeléctrico. 5.-Diodo. 6.-Transistor.

1.-Relé. 2.-Condensador. 3.-LED. 4.-Piezoeléctrico. 5.-Diodo. 6.-Transistor. 1.-Relé. 2.-Condensador. 3.-LED. 4.-Piezoeléctrico. 5.-Diodo. 6.-Transistor. 1.-Relé. Realiza el montaje de la figura comprobando el funcionamiento del relé. V=12v B1 V= Prueba ahora los contactos NC.

Más detalles

PROBLEMAS DE EXAMEN. 1.- La figura representa un convertidor alterna/alterna con control por fase bidireccional con carga resistiva:

PROBLEMAS DE EXAMEN. 1.- La figura representa un convertidor alterna/alterna con control por fase bidireccional con carga resistiva: POBLEMAS DE EXAMEN 1.- La figura representa un convertidor alterna/alterna con control por fase bidireccional con carga resistiva: 1 V in = 2 V s sen(wt) i in 2 a) Explicar brevemente el funcionamiento

Más detalles

CONTENIDO PRESENTACIÓN. Capítulo 1 COMPONENTES SEMICONDUCTORES: EL DIODO... 1

CONTENIDO PRESENTACIÓN. Capítulo 1 COMPONENTES SEMICONDUCTORES: EL DIODO... 1 CONTENIDO PRESENTACIÓN Capítulo 1 COMPONENTES SEMICONDUCTORES: EL DIODO... 1 1.1 INTRODUCCIÓN...1 1.2 EL DIODO...2 1.2.1 Polarización del diodo...2 1.3 CARACTERÍSTICAS DEL DIODO...4 1.3.1 Curva característica

Más detalles

Laboratorio de Electricidad PRACTICA - 9 EL OSCILOSCOPIO. MEDIDAS DE TENSIÓN ALTERNA

Laboratorio de Electricidad PRACTICA - 9 EL OSCILOSCOPIO. MEDIDAS DE TENSIÓN ALTERNA PRACTICA - 9 EL OSCILOSCOPIO. MEDIDAS DE TENSIÓN ALTERNA I - Finalidades 1.- Introducción y uso del osciloscopio. 2.- Efectuar medidas de tensiones alternas con el osciloscopio. alor máximo, valor pico

Más detalles

Universidad Simón Bolívar Coordinación de Ingeniería Electrónica Laboratorio de Circuitos Electrónicos I (EC-1177) Informe Práctica Nº 2

Universidad Simón Bolívar Coordinación de Ingeniería Electrónica Laboratorio de Circuitos Electrónicos I (EC-1177) Informe Práctica Nº 2 Universidad Simón Bolívar Coordinación de Ingeniería Electrónica Laboratorio de Circuitos Electrónicos I (EC-1177) Informe Práctica Nº 2 CARACTERÍSTICAS DE LOS DIODOS, CIRCUITO RECTIFICADOR DE MEDIA ONDA

Más detalles

PRACTICA Nº 1 MEDICIONES SOBRE CIRCUITOS ELECTRONICOS

PRACTICA Nº 1 MEDICIONES SOBRE CIRCUITOS ELECTRONICOS UNIVERSIDAD SIMON BOLIVAR DPTO. ELECTRONICA Y CIRCUITOS CIRCUITOS ELECTRONICOS I EC1177 PRACTICA Nº 1 MEDICIONES SOBRE CIRCUITOS ELECTRONICOS OBJETIVO Familiarizar al estudiante con los conceptos fundamentales

Más detalles

ETAPAS DE SALIDA Etapa de salida Clase A Inconvenientes

ETAPAS DE SALIDA Etapa de salida Clase A Inconvenientes Etapa de salida Clase A Inconvenientes El mayor inconveniente de la etapa de salida clase A es que presenta una elevada disipación de potencia en ausencia de señal AC de entrada. En gran cantidad de aplicaciones

Más detalles

PRÁCTICA 4 Montaje y evaluación de sistemas secuenciales.

PRÁCTICA 4 Montaje y evaluación de sistemas secuenciales. Montaje y evaluación de sistemas secuenciales. 1.- Objetivos: El objetivo de este módulo es familiarizar al alumno con los sistemas secuenciales partiendo del más sencillo (un biestable) para llegar al

Más detalles

UNIVERSIDAD NACIONAL AUTÓNOMA DE MÉXICO FACULTAD DE INGENIERÍA PROGRAMA DE ESTUDIO

UNIVERSIDAD NACIONAL AUTÓNOMA DE MÉXICO FACULTAD DE INGENIERÍA PROGRAMA DE ESTUDIO UNIVERSIDAD NACIONAL AUTÓNOMA DE MÉXICO FACULTAD DE INGENIERÍA PROGRAMA DE ESTUDIO DISPOSITIVOS Y CIRCUITOS ELECTRÓNICOS 1654 6º 11 Asignatura Clave Semestre Créditos Ingeniería Eléctrica Ingeniería Electrónica

Más detalles

2.1 Diseño de un sistema básico de biotelemetría

2.1 Diseño de un sistema básico de biotelemetría 2.1 Diseño de un sistema básico de biotelemetría 2.1.1 Objetivos 4.9.1.1 Diseñar un sistema de modulación y demodulación de frecuencia. 4.9.1.2 Construir un sistema de acondicionamiento de una señal modulada

Más detalles

8. Instrumentación y sistema de adquisición de datos

8. Instrumentación y sistema de adquisición de datos 8. Instrumentación y sistema de adquisición de datos Para poder obtener la información de interés del ensayo como son las potencias, energías, rendimientos Es necesario colocar sensores en todos los equipos.

Más detalles

Ejercicios propuestos para el tercer parcial. Figura 1. Figura 2

Ejercicios propuestos para el tercer parcial. Figura 1. Figura 2 Ejercicios propuestos para el tercer parcial. 1) Qué función cumple la resistencia R ubicada entre la compuerta y el cátodo mostrada en la figura 1, y cómo afecta a la activación del SCR? Figura 1. 2)

Más detalles

PRÁCTICA 3 TRANSISTORES BIPOLARES: POLARIZACIÓN Y GENERADORES DE CORRIENTE

PRÁCTICA 3 TRANSISTORES BIPOLARES: POLARIZACIÓN Y GENERADORES DE CORRIENTE PÁCTCA 3 TANSSTOES BPOLAES: POLAZACÓN Y GENEADOES DE COENTE 1. OBJETVO. Se pretende que el alumno tome contacto, por primera vez en la mayor parte de los casos, con transistores bipolares, y que realice

Más detalles

intensidad de carga. c) v 1 = 10 V, v 2 = 5 V. d) v 1 = 5 V, v 2 = 5 V.

intensidad de carga. c) v 1 = 10 V, v 2 = 5 V. d) v 1 = 5 V, v 2 = 5 V. 1. En el circuito regulador de tensión de la figura: a) La tensión de alimentación es de 300V y la tensión del diodo de avalancha de 200V. La corriente que pasa por el diodo es de 10 ma y por la carga

Más detalles

PRÁCTICA 3 OSCILADORES Oscilador de relajación

PRÁCTICA 3 OSCILADORES Oscilador de relajación TRI. 1.- Osciladores. Pág 1 PRÁCTICA 3 OSCILADORES Duración estimada: 2 semanas Objetivos de la práctica: 1. Conocer la teoría básica de osciladores. 2. Familizarizarse con algunos esquemas clásicos en

Más detalles

Herramientas Integradas para Laboratorios de Electrónica

Herramientas Integradas para Laboratorios de Electrónica Herramientas Integradas para Laboratorios de Electrónica NI Educational Laboratory Virtual Instrumentation Suite (NI ELVIS) Integración y funcionalidad con múltiples instrumentos. Combina instrumentación,

Más detalles

Table of Contents. Table of Contents UniTrain Cursos UniTrain Cursos UniTrain de electrónica. Lucas Nülle GmbH Página 1/14

Table of Contents. Table of Contents UniTrain Cursos UniTrain Cursos UniTrain de electrónica. Lucas Nülle GmbH Página 1/14 Table of Contents Table of Contents UniTrain Cursos UniTrain Cursos UniTrain de electrónica 1 2 2 3 Lucas Nülle GmbH Página 1/14 www.lucas-nuelle.es UniTrain Unitrain el sistema de aprendizaje multimedial

Más detalles

Experimento 3: Circuitos rectificadores con y sin filtro

Experimento 3: Circuitos rectificadores con y sin filtro Instituto Tecnológico de Costa Rica Escuela de Ingeniería Electrónica Profesores: Ing. Johan Carvajal, Ing. Adolfo Chaves, Ing. Eduardo Interiano, Ing. Francisco Navarro Laboratorio de Elementos Activos

Más detalles

ESCUELA SUPERIOR DE INGENIEROS DE SAN SEBASTIÁN TECNUN UNIVERSIDAD DE NAVARRA. Práctica 2 de Laboratorio ESTUDIO DEL RÉGIMEN TRANSITORIO

ESCUELA SUPERIOR DE INGENIEROS DE SAN SEBASTIÁN TECNUN UNIVERSIDAD DE NAVARRA. Práctica 2 de Laboratorio ESTUDIO DEL RÉGIMEN TRANSITORIO ESCUELA SUPERIOR DE INGENIEROS DE SAN SEBASTIÁN TECNUN UNIVERSIDAD DE NAVARRA Práctica de Laboratorio ESTUDIO DEL RÉGIMEN TRANSITORIO EL OSCILOSCOPIO DIGITAL Circuitos. Estudio del Régimen Transitorio.

Más detalles

COMPONENTES PASIVOS Y CIRCUITOS RESONANTES

COMPONENTES PASIVOS Y CIRCUITOS RESONANTES Práctica 1 COMPONENTES PASIVOS Y CIRCUITOS RESONANTES El objetivo de esta práctica es estudiar en el laboratorio el comportamiento en frecuencia de componentes pasivos y redes RLC. También se estudiará

Más detalles

Experiencia P53: Diodos- Propiedades- LED Sensor de voltaje, salida de potencia

Experiencia P53: Diodos- Propiedades- LED Sensor de voltaje, salida de potencia Experiencia P53: Diodos- Propiedades- LED Sensor de voltaje, salida de potencia Tema DataStudio ScienceWorkshop (Mac) ScienceWorkshop (Win) Semiconductores P53 LED.DS (Vea al final de la (Vea al final

Más detalles

Pr.B Boletín de problemas de la Unidad Temática B.III: Detección y generación de señales luminosas

Pr.B Boletín de problemas de la Unidad Temática B.III: Detección y generación de señales luminosas Pr.B Boletín de problemas de la Unidad Temática B.III: Detección y generación de señales luminosas Pr.B.4. Detección de luz e imágenes 1. Un detector de Ge debe ser usado en un sistema de comunicaciones

Más detalles

PRIMER LABORATORIO EL 7032

PRIMER LABORATORIO EL 7032 PRIMER LABORATORIO EL 7032 1.- OBJETIVOS.- 1.1.- Analizar las formas de onda y el comportamiento dinámico de un motor de corriente continua alimentado por un conversor Eurotherm Drives, 590+ Series DC

Más detalles

Amplificador de 10W con TDA2003

Amplificador de 10W con TDA2003 Amplificador de 10W con TDA2003 Un amplificador es un dispositivo que sirve para aumentar la potencia entregada a una carga (en este caso una bocina) y por lo tanto tener un sonido mas potente. Tabla de

Más detalles

se requiere proyectar una etapa amplificadora tal que satisfaga el esquema y datos que se transcriben a continuación:

se requiere proyectar una etapa amplificadora tal que satisfaga el esquema y datos que se transcriben a continuación: 1.1.) Utilizando transistores efecto de campo de compuerta aislada de Canal permanente N, cuyos principales datos son: 5 ma (mínimo) -0,8 Volt (mínimo) BV DSS > 45 Volt - I DSS = 17 " (típico) - V p =

Más detalles

2, Detallar los diversos tipos de Flip Flop

2, Detallar los diversos tipos de Flip Flop Profesor/a(s) Ramon Flores Pino Nivel o Curso/s 4º D Unidad/Sub Unidad 3.- Circuitos de lógica Secuencial GUÍA Nº Contenidos 1.- Temporizador 555 2. Flip Flops, Contadores Aprendizajes Esperados 1 Explicar

Más detalles

DEFINICIONES Y CONCEPTOS (SISTEMAS DE PERCEPCIÓN - DTE) Curso

DEFINICIONES Y CONCEPTOS (SISTEMAS DE PERCEPCIÓN - DTE) Curso DEFINICIONES Y CONCEPTOS (SISTEMAS DE PERCEPCIÓN - DTE) Curso 2009-10 1. Generalidades Instrumentación: En general la instrumentación comprende todas las técnicas, equipos y metodología relacionados con

Más detalles

PRACTICA Nº 2 CARACTERISTICAS DE LOS DIODOS, CIRCUITO RECTIFICADOR DE MEDIA ONDA. 1.-Explique como opera el osciloscopio en la modalidad X-Y.

PRACTICA Nº 2 CARACTERISTICAS DE LOS DIODOS, CIRCUITO RECTIFICADOR DE MEDIA ONDA. 1.-Explique como opera el osciloscopio en la modalidad X-Y. UNIVERSIDAD SIMON BOLIVAR DPTO. ELECTRONICA Y CIRCUITOS CIRCUITOS ELECTRONICOS I EC1177 PRACTICA Nº 2 CARACTERISTICAS DE LOS DIODOS, CIRCUITO RECTIFICADOR DE MEDIA ONDA OBJETIVO Familiarizar al estudiante

Más detalles

Montaje en placa protoboard de un circuito detector de oscuridad. 1) Nombre y apellidos: Curso y grupo: 2) Nombre y apellidos: Curso y grupo:

Montaje en placa protoboard de un circuito detector de oscuridad. 1) Nombre y apellidos: Curso y grupo: 2) Nombre y apellidos: Curso y grupo: Montaje en placa protoboard de un circuito detector de oscuridad. Miembros del grupo: 1) 2) 3) 4) 5) 1 PRÁCTICAS DE ELECTRÓNICA ANALÓGICA. PRÁCTICA 1. Montajes en placa protoboard. Medida de magnitudes

Más detalles

Accionamientos eléctricos Tema VI

Accionamientos eléctricos Tema VI Dispositivos semiconductores de potencia. ELECTRÓNICA DE POTENCIA - Con el nombre de electrónica de potencia o electrónica industrial, se define aquella rama de la electrónica que se basa en la utilización

Más detalles

CAPITULO I INTRODUCCIÓN. Diseño Digital

CAPITULO I INTRODUCCIÓN. Diseño Digital CAPITULO I INTRODUCCIÓN Diseño Digital QUE ES DISEÑO DIGITAL? UN SISTEMA DIGITAL ES UN CONJUNTO DE DISPOSITIVOS DESTINADOS A LA GENERACIÓN, TRANSMISIÓN, PROCESAMIENTO O ALMACENAMIENTO DE SEÑALES DIGITALES.

Más detalles

DISEÑO Y CONSTRUCCIÓN DE MICRORROBOTS

DISEÑO Y CONSTRUCCIÓN DE MICRORROBOTS Seminario Departamento de Electrónica (Universidad de Alcalá) DISEÑO Y CONSTRUCCIÓN DE MICRORROBOTS CNY-70: Sensor reflectivo de infrarrojos (www.vishay.com) ALUMNO: VÍCTOR MANUEL LÓPEZ MANZANO 5º curso

Más detalles

PRÁCTICA Nº 2. OSCILOSCOPIO. Describir las características y el funcionamiento del osciloscopio, generador de señales y oscilador de audio.

PRÁCTICA Nº 2. OSCILOSCOPIO. Describir las características y el funcionamiento del osciloscopio, generador de señales y oscilador de audio. PRÁCTICA Nº 2. OSCILOSCOPIO OBJETIVO Describir las características y el funcionamiento del osciloscopio, generador de señales y oscilador de audio. FUNDAMENTO TEÓRICO A continuación se presentan las definiciones

Más detalles

Electrónica. Tema 2 Diodos. Copyright The McGraw-Hill Companies, Inc. Queda prohibida su reproducción o visualización sin permiso del editor.

Electrónica. Tema 2 Diodos. Copyright The McGraw-Hill Companies, Inc. Queda prohibida su reproducción o visualización sin permiso del editor. Electrónica Tema 2 Diodos Contenido Ideas básicas Aproximaciones Resistencia interna y Resistencia en continua Rectas de carga Diodo zener Dispositivos optoelectrónicos Diodo Schottky 2 Diodo Es un dispositivo

Más detalles

INDICE Prologo Semiconductores II. Procesos de transporte de carga en semiconductores III. Diodos semiconductores: unión P-N

INDICE Prologo Semiconductores II. Procesos de transporte de carga en semiconductores III. Diodos semiconductores: unión P-N INDICE Prologo V I. Semiconductores 1.1. clasificación de los materiales desde el punto de vista eléctrico 1 1.2. Estructura electrónica de los materiales sólidos 3 1.3. conductores, semiconductores y

Más detalles

Inversores. Conversión de continua a alterna

Inversores. Conversión de continua a alterna Inversores Conversión de continua a alterna Introducción Convierten corriente continua a alterna. Motores de alterna de velocidad ajustable. Sistemas de alimentación ininterrumpida. Dispositivos de corriente

Más detalles

Circuitos lógicos secuenciales Continuamos con los circuitos lógicos secuenciales.

Circuitos lógicos secuenciales Continuamos con los circuitos lógicos secuenciales. Circuitos lógicos secuenciales Continuamos con los circuitos lógicos secuenciales. RESETABLE JK FLIP FLOP Muchas veces se requiere flip-flops tipo JK que puedan ser Set y Reset a estados conocidos, antes

Más detalles

ESCUELA SUPERIOR POLITECNICA DEL LITORAL PROGRAMA DE ESTUDIOS 2. OBJETIVOS

ESCUELA SUPERIOR POLITECNICA DEL LITORAL PROGRAMA DE ESTUDIOS 2. OBJETIVOS ELECTRÓNICA I UNIDAD ACADÉMICA: CARRERA: ESPECIALIZACIÓN: ÁREA: TIPO DE MATERIA: EJE DE FORMACIÓN: Facultad de Ingeniería en Electricidad y Computación Ingeniería en Electricidad. Ingeniería en Telemática,

Más detalles

Práctica No 0: Parte C El Osciloscopio y el Generador de Señales

Práctica No 0: Parte C El Osciloscopio y el Generador de Señales Universidad Nacional Experimental del Táchira. Departamento de Ingeniería Electrónica. Núcleo de Instrumentación y Control. Bioinstrumentación I Revisada por: Prof. Rafael Volcanes, Prof. Lisbeth Román.

Más detalles

RECOMENDACIÓN UIT-R BS *,** Medición del nivel de tensión del ruido de audiofrecuencia en radiodifusión sonora

RECOMENDACIÓN UIT-R BS *,** Medición del nivel de tensión del ruido de audiofrecuencia en radiodifusión sonora Rec. UIT-R BS.468-4 1 RECOMENDACIÓN UIT-R BS.468-4 *,** Medición del nivel de tensión del ruido de audiofrecuencia en radiodifusión sonora La Asamblea de Radiocomunicaciones de la UIT, (1970-1974-1978-1982-1986)

Más detalles

PRÁCTICAS DE ELECTRICIDAD Y ELECTRÓNICA CON CROCODILE. Lucía Defez Sánchez Profesora de la asignatura tecnología en la ESO

PRÁCTICAS DE ELECTRICIDAD Y ELECTRÓNICA CON CROCODILE. Lucía Defez Sánchez Profesora de la asignatura tecnología en la ESO PRÁCTICAS DE ELECTRICIDAD Y ELECTRÓNICA CON CROCODILE Lucía Defez Sánchez Profesora de la asignatura tecnología en la ESO 1 OBJETO Se elabora el presente cuaderno de prácticas con el fin de facilitar la

Más detalles

Tema: Circuitos no lineales DCSE

Tema: Circuitos no lineales DCSE Tema: DCSE Índice Comparadores Schmitt triggers Rectificadores Interruptores analógicos Detectores de picos Circuitos de muestreo y retención Introducción Cómo conseguir circuitos no lineales Ausencia

Más detalles

ARRANQUE DE LÁMPARAS FLUORESCENTES

ARRANQUE DE LÁMPARAS FLUORESCENTES 4 ARRANQUE DE LÁMPARAS FLUORESCENTES 4. INTRODUCCIÓN En el uso de sistemas de iluminación fluorescente es necesario alimentar a la lámpara de descarga con el voltaje adecuado para evitar un mal funcionamiento

Más detalles

CORRIENTE CONTINUA I : RESISTENCIA INTERNA DE UNA FUENTE

CORRIENTE CONTINUA I : RESISTENCIA INTERNA DE UNA FUENTE eman ta zabal zazu Departamento de Física de la Materia Condensada universidad del país vasco euskal herriko unibertsitatea FACULTAD DE CIENCIA Y TECNOLOGÍA UNIVERSIDAD DEL PAÍS VASCO DEPARTAMENTO de FÍSICA

Más detalles

alterna Tema 4 Tema 4. Reguladores alterna

alterna Tema 4 Tema 4. Reguladores alterna Conversión CA/CA. Reguladores de alterna Tema 4 SITUACIÓN DENTRO DE LA ELECTRÓNICA DE POTENCIA CONVERTIDORES CC/CC RECTIFICADORES INVERSORES REGULADORES DE ALTERNA CARACTERÍSTICAS CARACTERÍSTICAS DE LOS

Más detalles