Departamento de Ingeniería Electrónica. Universidad de Sevilla Asignatura: Laboratorio de Microelectrónica Digital. Escuela Superior de Ingenieros

Tamaño: px
Comenzar la demostración a partir de la página:

Download "Departamento de Ingeniería Electrónica. Universidad de Sevilla Asignatura: Laboratorio de Microelectrónica Digital. Escuela Superior de Ingenieros"

Transcripción

1 Escuela Superior de Ingenieros Universidad de Sevilla Departamento de Ingeniería Electrónica Versión de Marzo de 2008 Práctica 3 LABORATORIO DE MICROELECTRÓNICA Jonathan N. Tombs Fernando Muñoz Chavero Miguel A. Aguirre Javier Nápoles 1

2 Práctica 3. Comunicación serie con la tarjeta S3 Este práctica es la tercera de un grupo de tres dedicada a entrenar al alumno con el entorno de trabajo Xilinx ISE 9.2 y la tarjeta Digilent S3. Se diseñarán un sistema de comunicaciones entre un ordenador personal y las tarjeta, basadas en la utilización de un enlace serie RS232. Los objetivos de la práctica son los siguientes: 1. Perfeccionar el entrenamiento con el entorno Xilinx ISE Faciltar un mecanismo de comunicaciones entre un PC y la tarjeta S3 que sirva para transferencia de información entre ambos sistemas. 2

3 1. Objetivo propuesto Se trata de diseñar un enlace serie RS232 con una velocidad de transferencia y definición del enlace de comunicaciones fijo. Proveer de un mecanismo de transferencia de información entre la tarjeta y el usuario para posibles aplicaciones en los proyectos. 2. Enlace de Serie Un enlace serie es una comunicación asíncrona a una velocidad determinada. La comunicación se realiza diseñando una UART para la transmisión y para la recepción de mensajes. Las condiciones de diseño serán las siguientes: 8 bits Paridad par 1 start y 2 de stop 9600 baudios Half duplex. No se permite envío y recepción simultáneos. Desde el PC utilizaremos la utilidad de Windows hiperterminal, que nos facilitará la transferencia a través del COM1. Una línea serie tiene dos líneas RX y TX, RX recibe la información y TX la envía. Driver Displays RX RECEPTOR Registro Byte DataRec0 Registro Byte DataRec1 DataOK StTrans TX TRANSMISOR DataRec1 Por otra parte, representaremos la información recibida en los displays de la placa. En el ejercicio de hoy almacenaremos cuatro valores en sendos registros de 8 bits, que forman una pequeña FIFO de dos bytes. A su vez estos registros se representan en el 3

4 display y luego se envían al PC por la línea de transmisión. En la consola hiperterminal se visualizarán los valores de la información retrasados un envío. Para realizar la práctica se utilizará el puerto RS232 de la placa, conectado al PC mediante un cable serie. La norma RS232 obliga a transmitir mediante tensiones de 0 a 15V. Se precisa, pues, de un chip que adapte dichas tensiones. En la figura se representa el esquema eléctrico de dicho puerto implementado en la placa S3. 3. Realización de la práctica Por razones didácticas seguiremos la evolución de la práctica de menor a mayor complejidad. Además se proporciona la parte del diseño relacionada con los drivers de los display, que pueden ser los mismos que se utilizaron en la práctica 1. Se comenzará realizando un transmisor que envíe un valor fijo al PC. 3.1 TRANSMISOR El transmisor es un circuito bastante sencillo, en el que la información transmitida por la línea TX tiene una configuración fija. En este caso se trata de una carga en paralelo y una salida en serie, para enviar 8 bits de información y a los cuales que hay que añadir el bit de start y bit proveniente del generador de paridad. La línea de transmisión está en estado 1 en reposo. La transmisión se realizará a la velocidad de 9600 baudios. 4

5 Utilizaremos los siguientes elementos para realizar el tranmisor: Contador de bits Contados de baudios Máquina de estados que controla la transmisión Generador de paridad Multiplexor de selector de bit. En esta práctica es muy aconsejable utilizar un contador genérico en el que se adapte su extensión y valor de saturación a cada una de las aplicaciones, con señal de habilitación (enable) y señal de reset síncrono. La línea serie se comporta según la figura adjunta: Start B0 B7 Paridad Stop Muestreo 9600 b.p.s. B0 es el bit menos significativo del Byte a transmitir, y el bit de paridad representa un valor que hace que el número de bits a 1 del byte, junto con el propio bit de paridad, sea par. Los bits de stop representan un tiempo de al menos dos bytes en los que la línea TX está en reposo. La figura presenta un esquema de una posible solución al circuito. StSend Transmit Maq Est Transm Fin resets resets Contador de Baudios (Mod 5208) S9600 Contador de Bits (Mod 11) Selector 0 0 DataPar 1-8 Transmit TX GenParidad

6 3.1.1 Máquina de estados La máquina de estados de transmisión controla la transmisión, siguiendo el circuito del esquema anterior es: StSend=0 Reposo Transmite=0 Fin=1 StSend=1 Transmision Fin=0 Transmite=1 Donde StSend es un pulso de inicio de transmisión, Fin es un pulso de fin de transmisión dado por el contador de bits. Transmite tiene la misión de habilitar todo el tiempo del proceso durante el cual se transmite. La señal Transmite activará el contador de baudios de transmisión y el de bits, es decir, esta señal invertida mantiene a cero estos contadores cuando no hay transmisión Contador de Bits de Transmisión Este contador debe contar al menos 11 bits, donde el bit 0 es el de start, que está a 0, los bits 1 a 8 son la información transmitida, el bit 9 es el de paridad y el bit 10 debe estar a 1. Este contador cambia cada 1/9600 segundos. Para esta parte de la práctica se procederá a fijar un valor al dato de entrada. Se propone que para este dato se utilice el carácter hexadecimal 0x RECEPTOR En la figura 1 se muestra el esquema general del receptor. Podemos distinguir los siguientes bloques a diseñar en VHDL: Desmultiplicador para ajustar la frecuencia de recepción Registro de recepción, conversor de serie a paralelo Generador de paridad Máquina de estados de recepción En la figura se muestra un esquema de la arquitectura del receptor basado en los bloques anteriormente mencionados. 6

7 RX ByteOki Maq Est Receptor Fin Contador de Baudios (Mod 2604) s19200 Resets SmplBit Contador de Bits (Mod 10) Registro Desplazamiento prt b7 b0 st DataRec (1 a 8) ByteOki ByteOkp ByteOk Gen Paridad ByteOkp El receptor ha de muestrear la información a mitad de bit, para asegurar que la recepción sea correcta. Por ello utilizaremos un contador que desmultiplica a doble velocidad, y muestreará la señal cada dos pulsos, tal y como se muestra en la figura. Start B0 B7 Paridad Stop Muestreo 9600 b.p.s. La velocidad de bits se ha de construir a partir de una desmultiplicación del reloj de 50MHz. Se construye un contador desmultiplicador de 50Mhz a (2x9600) ciclos por segundo: / 19200=2604 Este contador se utilizará para muestrear cada bit. Se realizará de la siguiente manera, mediante una máquina de estados: Se recibe el bit de Start, en el que se pone la línea RX a cero. El contador se pone a cero y utilizaremos una señal de para muestrear cada dos pulsos de saturación. Se han de contar 9 bits (8 + paridad) El dato válido se genera a partir de la valoración de la paridad. La necesidad de un contador de velocidad doble se justifica para garantizar que el muestreo se realizará a mitad del tiempo de un bit de la información recibida, tal y como se muestra en la figura Diseño de la máquina de estados de recepción 7

8 Esta máquina de estados controla el muestreo de señales activadas por el contador de baudios. Se activa a partir del bit de start por RX. En reposo los contadores no se mueven. Una vez que se activa el contador de baudios, se atiende a su bit de saturación. Cada dos bits de saturación, se muestrea la señal de RX a través de SmplBit. El mecanismo se detiene cuando el número de bits muestreado es igual al valor de saturación del contador de bits SatCtBits Registro de desplazamiento La información se guarda en un registro de desplazamiento que cuando se habilita, se, transfiere la información al registro adyacente, y si no está habilitado, se retiene la información VERIFICACIÓN Para realizar la verficación de la información enviada se propone realizar dos acciones: 1. Representar en el display de la placa la información de los bytes recibidos. LA representación en el display se facilita en un módulo VHDL ya realizado y disponible en la web de descargas. 2. Reenvío al PC de la información de los bytes, mediante el transmisor. Los valores enviados aparecerían en la consola de la herramienta hiperterminal Hiperterminal 8

9 Es una aplicación de Windows que permite realizar operaciones de comunicación por diferentes canales, y en particular por el puerto serie, identificaci por COM1:. Se encuentra en Inicio >Programas >Accesorios >Comunicaciones >Hyperterminal Con el programa desconectado (icono del teléfono descolgado), Archivo >Propiedades Debe aparecer conectar usando COM1. Aquí presionar el botón Configurar. Aquí debe aparecer la configuración de la velocidad del puerto serie: 9

10 La figura muestra la configuración del puerto serie para realizar esta práctica. Una vez configurado la información que se escriba desde teclado en la consola del hyperterminal se transfiere automáticamente al puerto serie, y la información recibida será escrita en la consola. Los caracteres escritos no aparecen en la configuración por defecto de la consola. 4. Desarrollo de la Práctica Como se ha comentado comenzaremos por el transmisor. Realizaremos una primera versión que enviará al PC un valor fijo. 4.1 Contador Descripción Entidad clk reset enable resets Sat CONT_BAUDIOS y CONT_BITS Contador síncrono con habilitación y valor de saturación. Se llamará a esta instancia en cuatro ocasiones, para dos temporizar los baudios y dos para contar bits. entity contador is Generic (Nbit: INTEGER := 8; ValSat: INTEGER := 100); Port ( clk : in STD_LOGIC; reset : in STD_LOGIC;--reset asíncrono enable : in STD_LOGIC; resets : in STD_LOGIC;--reset síncrono Sat: out STD_LOGIC; Q : out STD_LOGIC_VECTOR (Nbit-1 downto 0)); end contador; Descripción de los puertos Reloj Reset asíncrono activo a nivel alto Señal de habilitación activa a nivel alto. Si enable= 1 el contador avanzará uno en la cuenta en el flanco positivo de reloj. Reset síncrono activo a nivel alto. Si resets= 1 el contador pasará a cero en el siguiente flanco positivo de reloj. Pulso de salida que aparece cuando el contador alcanza ValSat. 10

11 Q Salida de Nbit igual a la valor de la cuenta. 4.2 Paridad Descripción Entidad dato Paridad GEN_PAR Circuito totalmente combinacional para calcular el bit de paridad del byte. entity paridad is Port ( data : in STD_LOGIC_VECTOR (7 downto 0); parity: out STD_LOGIC); end paridad; Descripción de los puertos Byte para calcular la paridad Valor de la paridad 4.3 Máquina de estado de transmisión Descripción Entidad clk rst StSend Fin Transmit FSM_TRANSMISOR Circuito de control del proceso de transmisión entity fsmtrns is Port ( clk : in STD_LOGIC; rst : in STD_LOGIC; StSend : in STD_LOGIC; Fin : in STD_LOGIC; Transmit : out STD_LOGIC); end fsmtrns; Descripción de los puertos Reloj de sistema de 50MHz Reset asíncrono activo a nivel alto Comienza el proceso de transmisión. Debe ser un pulso de un periodo de reloj Final del contador de bits de transmisión Esta señal está a 1 durante el periodo de tranmisión. 4.4 Máquina de estado de recepción Descripción Entidad FSM_RECEPTOR Circuito totalmente combinacional para calcular el bit de paridad del byte. entity fsmrec is Port ( clk : in STD_LOGIC; rst : in STD_LOGIC; RX : in STD_LOGIC; satctbits : in STD_LOGIC; s19200 : in STD_LOGIC; clrctbits : out STD_LOGIC; smplbit : out STD_LOGIC; ByteOki : out STD_LOGIC); end fsmrec; 11

12 clk rst RX satctbits S19200 clrctbits SmplBit ByteOk SmplBit Descripción de los puertos Reloj de sistema de 50MHz Reset asíncrono activo a nivel alto Línea de recepción Señal indicadora del final del contador de bits Saturación del contador de baudios Reset síncrono de los contadores de baudios y de bits Pulso de muestreo de un bit. Se habilita el contador de bits y el registro de desplazamiento. Debe durar un periodo de reloj. Se alcanza el final de la cuenta de byte y se debe verificar la paridad. Muestreo de un bit 4.5 Registro de Desplazamiento Descripción Entidad clk reset enable RX DataPar REG_DESPL Registro de desplazamiento que lee el valor de la información recibida en modo serie. Se lee el bit menos significativo primero. entity SerialPar is Generic (Nbits: INTEGER := 10); Port ( clk : in STD_LOGIC; reset : in STD_LOGIC; enable : in STD_LOGIC; RX: in STD_LOGIC; DataPar: out STD_LOGIC_VECTOR (Nbits-1 downto 0)); end SerialPar; Descripción de los puertos Reloj de sistema Reset asíncrono activo a nivel alto Señal de habilitación activa a nivel alto. Si enable= 1 cada registro transferirá el contenido de cada uno de sus bits al siguiente. Señals de recepción serie. Valor de salida paralelo. El registro de desplazamiento ha de realizarse siendo el Msb el registro que primero recibe la información. Puede realizarse utilizando el siguiente código concurrente: pdata(nbits 2 downto 0)<=Data(Nbits 1 downto 1) when(enable=ʹ1ʹ) else Data(Nbits 2 downto 0); pdata(nbits 1)<=RX when(enable=ʹ1ʹ) else Data(Nbits 1); 4.6 Presentación de la información PRES_INFO 12

13 Descripción Entidad clk reset Data0 Data1 select DataPar Presenta la información en el display de 7 segmentos. Se trata de la práctica 1 adaptada a este problema. Se entrega como parte del enunciado. entity PrDisplay is Port ( clk : in STD_LOGIC; reset : in STD_LOGIC; data0: in STD_LOGIC_VECTOR (7 downto 0); data1: in STD_LOGIC_VECTOR (7 downto 0); siete_seg: out STD_LOGIC_VECTOR (6 downto 0); select: out STD_LOGIC_VECTOR (3 downto 0)); end PrDisplay; Descripción de los puertos Reloj de sistema Reset asíncrono activo a nivel alto Valor del registro 0 a representar Valor del registro 0 a representar Selector del display Valor del display de siete segmentos 4.7 Conexionado de pines de entrada/salida El fichero USER CONSTRAINTS FILE debe contener la siguiente información: NET ʺSelector<0>ʺ LOC = ʺE13ʺ ; NET ʺSelector <1>ʺ LOC = ʺF14ʺ ; NET ʺSelector <2>ʺ LOC = ʺG14ʺ ; NET ʺSelector <3>ʺ LOC = ʺD14ʺ ; NET ʺclkʺ LOC = ʺT9ʺ ; NET ʺRXʺ LOC = ʺT13ʺ ; NET ʺrstʺ LOC = ʺL14ʺ ; NET ʺSiete_Seg<1>ʺ LOC = ʺE14ʺ ; NET ʺSiete_Seg<2>ʺ LOC = ʺG13ʺ ; NET ʺSiete_Seg<3>ʺ LOC = ʺN15ʺ ; NET ʺSiete_Seg<4>ʺ LOC = ʺP15ʺ ; NET ʺSiete_Seg<5>ʺ LOC = ʺR16ʺ ; NET ʺSiete_Seg<6>ʺ LOC = ʺF13ʺ ; NET ʺSiete_Seg<7>ʺ LOC = ʺN16ʺ ; NET ʺTXʺ LOC = ʺR13ʺ ; 13

UART. Diseño de Sistemas con FPGA 1er cuatrimestre 2013 Patricia Borensztejn

UART. Diseño de Sistemas con FPGA 1er cuatrimestre 2013 Patricia Borensztejn UART Diseño de Sistemas con FPGA 1er cuatrimestre 2013 Patricia Borensztejn UART Universal Asynchronous receiver and transmitter: dispositivo (controlador ) que envía datos paralelos sobre una línea serie.

Más detalles

Sistemas Electrónicos Digitales Curso de adaptación al Grado

Sistemas Electrónicos Digitales Curso de adaptación al Grado Práctica Práctica 2 Sistemas Electrónicos Digitales Curso de adaptación al Grado Sistemas combinacionales con VHDL Universidad de Alicante Ángel Grediaga 2 Índice INTRODUCCIÓN... 3 2 CIRCUITOS COMBINACIONALES...

Más detalles

SISTEMAS ELECTRÓNICOS DIGITALES

SISTEMAS ELECTRÓNICOS DIGITALES SISTEMAS ELECTRÓNICOS DIGITALES PRÁCTICA 6 SISTEMA DE ENCRIPTACIÓN 1. Objetivos - Estudio del funcionamiento de memorias RAM y CAM. - Estudio de métodos de encriptación y compresión de datos. 2. Enunciado

Más detalles

SelectRAM+memory Bloques de memoria RAM En las FPGAs Spartan IIE

SelectRAM+memory Bloques de memoria RAM En las FPGAs Spartan IIE 1 SelectRAM+memory Bloques de memoria RAM En las FPGAs Spartan IIE tiempo de acceso RAM, algunas veces se usa cerrojo en el Juan Manuel Narváez Sánchez, Carlos Andrés Moreno Tenjica, Estudent Member IEEE

Más detalles

Figura 2. Formato de un dato serie síncrono.

Figura 2. Formato de un dato serie síncrono. ELECTRÓNICA DIGITAL II 1 COMUNICACIÓN SERIE EN EL 8051 En la comunicación serie los datos se transfieren bit por bit. Una interfaz en el microcontrolador los transfiere el dato en paralelo del CPU a serie

Más detalles

1. Módulo de desarrollo Spartan 3 STARTER KIT con un FPGA xc3s200 ft256 2. Software de diseño XILINX ISE versión 10.1

1. Módulo de desarrollo Spartan 3 STARTER KIT con un FPGA xc3s200 ft256 2. Software de diseño XILINX ISE versión 10.1 Universidad Simón Bolívar Departamento de Electrónica y Circuitos EC1723, Circuitos Digitales Trimestre Septiembre-Diciembre 2008 Realización: Semana 8. Miércoles 5 de Noviembre Laboratorio Práctica 4:

Más detalles

Diseño de un sistema de adquisición de datos de un micrófono utilizando una FPGA

Diseño de un sistema de adquisición de datos de un micrófono utilizando una FPGA Diseño de un sistema de adquisición de datos de un micrófono utilizando una FPGA Experimental III: Introducción a la Microfabricación y FPGA - Instituto Balseiro Mauricio Tosi Diciembre de 2013 Resumen

Más detalles

Tema 10: Transmisión de datos

Tema 10: Transmisión de datos Tema 10: Transmisión de datos Solicitado: Tarea 08: Mapa conceptual: Transmisión de datos M. en C. Edgardo Adrián Franco Martínez http://www.eafranco.com edfrancom@ipn.mx @edfrancom edgardoadrianfrancom

Más detalles

Manual de referencia de la tarjeta BASYS 2

Manual de referencia de la tarjeta BASYS 2 Universidad Politécnica de Madrid ETSI de Telecomunicación Departamento de Ingeniería Electrónica Circuitos Electrónicos (Plan 2010) Curso 2012-2013 Manual de referencia de la tarjeta BASYS 2 Álvaro de

Más detalles

Universidad Autónoma de Querétaro Facultad de Ingeniería

Universidad Autónoma de Querétaro Facultad de Ingeniería Universidad Autónoma de Querétaro Facultad de Ingeniería Manual de Prácticas Sistemas Digitales con Lógica Reconfigurable (SDLRI) Que como parte de los requisitos para obtener el grado de Ingeniero en

Más detalles

Desde 1987, Ingeniería, Desarrollo y Fabricación en España MDV6/MD6V. TRANSMISOR/RECEPTOR 4xVIDEO,DATOS,AUDIO Y CONTACTO

Desde 1987, Ingeniería, Desarrollo y Fabricación en España MDV6/MD6V. TRANSMISOR/RECEPTOR 4xVIDEO,DATOS,AUDIO Y CONTACTO Desde 1987, Ingeniería, Desarrollo y Fabricación en España MDV6/MD6V TRANSMISOR/RECEPTOR 4xVIDEO,DATOS,AUDIO Y CONTACTO CONTENIDO Funcionalidad y características generales del equipo. Especificaciones

Más detalles

COMUNICACIÓN SERIE (RS232)

COMUNICACIÓN SERIE (RS232) COMUNICACIÓN SERIE (RS232) Introducción Una manera de conectara dos dipositivos es mediante comunicaciones serie asíncronas. En ellas los bits de datos se transmiten "en serie" (uno de trás de otro) y

Más detalles

TRABAJO PRÁCTICO Nº 6: PUERTO SERIE

TRABAJO PRÁCTICO Nº 6: PUERTO SERIE TRABAJO PRÁCTICO Nº 6: PUERTO SERIE Introducción a las comunicaciones serie Las comunicaciones serie se utilizan para enviar datos a través de largas distancias, ya que las comunicaciones en paralelo exigen

Más detalles

Decodificadores/Demultiplexores. Grupo 9 Javier de Gregorio Menezo Laro de la Fuente Lastra Raúl Fernández Díaz

Decodificadores/Demultiplexores. Grupo 9 Javier de Gregorio Menezo Laro de la Fuente Lastra Raúl Fernández Díaz Decodificadores/Demultiplexores Grupo 9 Javier de Gregorio Menezo Laro de la Fuente Lastra Raúl Fernández Díaz Decodificadores Un decodificador (DEC) es un circuito combinacional que convierte un código

Más detalles

ELECTRONICA ESTUDIO MODULO TRASMISOR-RECEPTOR DE RF A RS232 BIDIRECCIONAL Clave: 875

ELECTRONICA ESTUDIO MODULO TRASMISOR-RECEPTOR DE RF A RS232 BIDIRECCIONAL Clave: 875 ELECTRONICA ESTUDIO MODULO TRASMISOR-RECEPTOR DE RF A RS232 BIDIRECCIONAL Clave: 875 www.electronicaestudio.com Guía de Operación E L E C T R O N I C A E S T U D I O Modulo Transmisor-Receptor de RF a

Más detalles

DISEÑO DE SISTEMAS ELECTRÓNICOS DIGITALES AVANZADOS

DISEÑO DE SISTEMAS ELECTRÓNICOS DIGITALES AVANZADOS Práctica 2 DISEÑO DE SISTEMAS ELECTRÓNICOS DIGITALES AVANZADOS Controlador de teclado Universidad de Alicante Curso 2013/14 Práctica 2 Práctica 2 Control del teclado 1. Introducción Esta práctica plantea

Más detalles

Tipos de Filtros Introducción

Tipos de Filtros Introducción Tipos de Filtros Introducción Tanto en los circuitos eléctricos como los sistemas de comunicaciones, se desea manejar información la cual debe estar dentro de ciertas frecuencias. Pero, ciertos grupos

Más detalles

INGENIERÍA DE COMPUTADORES III. Solución al examen de Septiembre 2013

INGENIERÍA DE COMPUTADORES III. Solución al examen de Septiembre 2013 Solución al examen de Septiembre 2013 PREGUNTA 1 (2 puntos) Tomando como base el siguiente código VHDL, dibuje el cronograma de evolución de las señales clk, x, a, b, c e y entre los instantes 0 y 1000

Más detalles

Registros de desplazamiento

Registros de desplazamiento Registros de desplazamiento Definición de registro de desplazamiento básico Tipos de registro de desplazamiento Configuraciones específicas Aplicaciones más típicas VHDL Ejercicio propuestos Definición

Más detalles

LABORATORIO DE TECNOLOGÍA DE COMPUTADORES PRÁCTICA 7

LABORATORIO DE TECNOLOGÍA DE COMPUTADORES PRÁCTICA 7 LABORATORIO DE TECNOLOGÍA DE COMPUTADORES PRÁCTICA 7 Objetivo: Diseño e implementación de un cronómetro digital usando la herramienta de diseño Xilinx Foundation. El diseño se realizará con captura de

Más detalles

LABORATORIO DE CIRCUITOS DIGITALES (2005-II) QUINTA CLASE DE VHDL

LABORATORIO DE CIRCUITOS DIGITALES (2005-II) QUINTA CLASE DE VHDL LABORATORIO DE CIRCUITOS DIGITALES (2005-II) QUINTA CLASE DE VHDL MÁQUINAS DE ESTADO FINITAS (FSMs) Máquinas de Moore Máquinas de Mealy MÁQUINAS DE ESTADOS FINITAS (FSMs) Tipos: Máquina de Moore: Las salidas

Más detalles

Tema: Comunicación en Serie. Interfaz RS-232.

Tema: Comunicación en Serie. Interfaz RS-232. 1 Tema: Comunicación en Serie. Interfaz RS-232. Facultad: Ingeniería Escuela: Electrónica Asignatura: Redes de Comunicación Industrial Lugar de ejecución: Instrumentación y Control (Edificio 3, 2da planta)

Más detalles

APUNTES DE INSTRUMENTACIÓN PARA ELECTRÓNICA DIGITAL

APUNTES DE INSTRUMENTACIÓN PARA ELECTRÓNICA DIGITAL APUNTES DE INSTRUMENTACIÓN PARA ELECTRÓNICA DIGITAL Fernando Peral Pérez Noviembre 2003 1 Índice La sonda lógica...3 El inyector lógico...4 El analizador lógico (PROMAX AL-320)...5 Características...5

Más detalles

Transmisión paralelo:

Transmisión paralelo: Comunicaciones Transmisión paralelo: Todos los bits que forman un carácter de datos se propagan a la vez Se utilizan tantos canales como bits tenga el carácter (+ adicionales de control) Transmisión serie:

Más detalles

DECODIFICADORES. Para cualquier código dado en las entradas solo se activa una de las N posibles salidas. 2 N

DECODIFICADORES. Para cualquier código dado en las entradas solo se activa una de las N posibles salidas. 2 N DECODIFICADORES Tienen como función detectar la presencia de una determinada combinación de bits en sus entradas y señalar la presencia de este código mediante un cierto nivel de salida. Un decodificador

Más detalles

Hardware Libre: la Tarjeta Skypic, una Entrenadora para Microcontroladores PIC

Hardware Libre: la Tarjeta Skypic, una Entrenadora para Microcontroladores PIC Hardware Libre: la Tarjeta Skypic, una Entrenadora para Microcontroladores PIC Juan González Gómez Escuela Politécnica Superior Universidad Autónoma de Madrid Andrés Prieto-Moreno Torres Ifara Tecnologías,

Más detalles

DESCRIPCIÓN DE CIRCUITOS DIGITALES

DESCRIPCIÓN DE CIRCUITOS DIGITALES DESCRIPCIÓN DE CIRCUITOS DIGITALES Circuitos combinacionales Circuitos secuenciales Organización del diseño. Diseño genérico Operaciones iterativas Autores: Luis Entrena, Celia López, Mario García, Enrique

Más detalles

Prueba del Driver ModBus

Prueba del Driver ModBus Prueba del Driver ModBus Prueba de la placa Elementos y Conexiones Elementos: - Placa 232-485 - Driver ModBus - Master SPI (µc en protoboard, ver más abajo) - PC + software de prueba dedicado, o genérico

Más detalles

GUÍA DE ESTUDIO TEMA 2. MODELO OSI. ESTÁNDARES Y PROTOCOLOS. MODELO TCP/IP.

GUÍA DE ESTUDIO TEMA 2. MODELO OSI. ESTÁNDARES Y PROTOCOLOS. MODELO TCP/IP. GUÍA DE ESTUDIO TEMA 2. MODELO OSI. ESTÁNDARES Y PROTOCOLOS. MODELO TCP/IP. OBJETIVOS Introducir conceptos de las comunicaciones: protocolo, jerarquías de protocolos y estándares utilizados. Conocer los

Más detalles

MODBUS INDICE. Centro Integrado Politécnico ETI Departamento de Electricidad Fernando Pascual Moisés Pérez MODBUS 1. CARACTERÍSTICAS DEL BUS

MODBUS INDICE. Centro Integrado Politécnico ETI Departamento de Electricidad Fernando Pascual Moisés Pérez MODBUS 1. CARACTERÍSTICAS DEL BUS INDICE 1. CARACTERÍSTICAS DEL BUS 2. PROTOCOLOS 3. CARACTERÍSTICAS DE LOS MENSAJES ENVIADOS 4. INSTRUCCIÓN PMCR 5. EJEMPLO DE APLICACIÓN a. Configuración puerto SCU41 b. Configuración variador V1000 c.

Más detalles

Documentación Técnica Uso Interno

Documentación Técnica Uso Interno Procedimiento Verificación Puerto Serie RS-232 Datos del Producto: Datos del Documento: Sistema Clino opt 99 Tipo documento Pauta Dispositivo Interface de PC Nombre documento ACL_PC-010301-001 Abreviatura

Más detalles

PIC MICRO ESTUDIO Display de 2 y4 Dígitos RS-232 (Clave 701 y 702) www.electronicaestudio.com. Guía de Uso

PIC MICRO ESTUDIO Display de 2 y4 Dígitos RS-232 (Clave 701 y 702) www.electronicaestudio.com. Guía de Uso PIC MICRO ESTUDIO Display de 2 y4 Dígitos RS-232 (Clave 701 y 702) www.electronicaestudio.com Guía de Uso Ing. Wilfrido González Bonilla Display de 2 y4 Dígitos RS-232 con el PIC16F84 Son innumerables

Más detalles

Tema 8: Organización de la Entrada/salida

Tema 8: Organización de la Entrada/salida Tema 8: Organización de la Entrada/salida 1. Funciones implicadas en las operaciones de e/s. 2. Estructura del sistema de e/s: módulos de e/s y controladores 3. Mecanismos básicos de e/s: sincronización

Más detalles

PIC 18F45XX CARACTERÍSTICAS GENERALES

PIC 18F45XX CARACTERÍSTICAS GENERALES PIC 18F45XX CARACTERÍSTICAS GENERALES 1. Características generales CPU con arquitectura Harvard (77 instrucciones) Todas las instrucciones constan de 1 sola palabra de 16 bits (2 bytes) excepto las de

Más detalles

Guía de uso Tarjeta Nexys 2 FPGA Spartan-3E

Guía de uso Tarjeta Nexys 2 FPGA Spartan-3E Tarjeta Nexys 2 FPGA Spartan-3E Ingeniería Eléctrica y Electrónica DIEE Sede Bogotá Facultad de Ingeniería del Departamento Ingeniería Eléctrica y Electrónica. Tarjeta Nexys 2 FPGA Spartan 3-E. Versión

Más detalles

Hardware Libre: Clasificación y desarrollo de hardware reconfigurable en entornos GNU/Linux

Hardware Libre: Clasificación y desarrollo de hardware reconfigurable en entornos GNU/Linux Hardware Libre: Clasificación y desarrollo de hardware reconfigurable en entornos GNU/Linux Iván González, Juan González, Francisco Gómez-Arribas Escuela Politécnica Superior Universidad Autónoma de Madrid

Más detalles

Conversor. Merlín Full. Manual de Usuario

Conversor. Merlín Full. Manual de Usuario Conversor USB RS-232C (COM Serial PC) Merlín Full Gracias por adquirir el conversor USB a RS-232C (COM serial de PC) Merlín Full. El mismo ha sido diseñado con la tecnología más avanzada disponible para

Más detalles

Configurar MODEM sms. Tutorial. Configurar MODEM sms. En este tutorial veremos como configurar un módem y un envio de sms con el PLC de unitronics

Configurar MODEM sms. Tutorial. Configurar MODEM sms. En este tutorial veremos como configurar un módem y un envio de sms con el PLC de unitronics Configurar MODEM sms Tutorial Contenido: Familia: Autor: Revisión: En este tutorial veremos como configurar un módem y un envio de sms con el PLC de unitronics Unitronics Departamento Postventa / Departamento

Más detalles

CODIFICADORES CON PRIORIDAD. Grupo 2

CODIFICADORES CON PRIORIDAD. Grupo 2 CODIFICADORES CON PRIORIDAD Grupo 2 Descripción Los codificadores son circuitos combinacionales generalmente de 2 N entradas y N salidas, donde las salidas son el código binario correspondiente al valor

Más detalles

PRÁCTICA 4. CONTADORES

PRÁCTICA 4. CONTADORES PRÁCTICA 4. CONTADORES 1. Objetivo En esta práctica se va a estudiar el funcionamiento de los circuitos secuenciales, tomando como ejemplo varios contadores. 2. Material necesario La práctica se realizará

Más detalles

INTRODUCCIÓN A LAS COMUNICACIONES POR RADIOFRECUENCIA

INTRODUCCIÓN A LAS COMUNICACIONES POR RADIOFRECUENCIA INTRODUCCIÓN A LAS COMUNICACIONES POR RADIOFRECUENCIA Centro CFP/ES CAMPOS EN ELECTRÓNICA COMUNICACIONES SISTEMAS ELECTRÓNICOS DE AYUDA A LA NAVEGACIÓN INSTRUMENTACIÓN ELECTRÓNICA: DISPOSITIVOS ELECTRÓNICOS

Más detalles

^6+1 2^5+1 2^2+1 2^1+1 2^ ^6+1 2^0-65.

^6+1 2^5+1 2^2+1 2^1+1 2^ ^6+1 2^0-65. ELECTRÓNICA DIGITAL 23-I-2014 PREGUNTAS TEÓRICO PRÁCTICAS: 1. Determinar el valor decimal de los números expresados en Complemento a 2. (0.25 puntos). 10011001 10011000 01100111 1 2^6+1 2^5+1 2^2+1 2^1+1

Más detalles

Discusión. Modelo de una compuerta. Arquitecturas de Computadores Prof. Mauricio Solar. Temario. ...Introducción

Discusión. Modelo de una compuerta. Arquitecturas de Computadores Prof. Mauricio Solar. Temario. ...Introducción 0-06-200 Temario Arquitecturas de Computadores Prof. Mauricio Solar 5 Componentes igitales Estructurados Introducción 2 Registros 3 Multiplexores 4 Codificadores y ecodificadores 5 Archivos de Registros

Más detalles

INDICE Programa Entrada Unidad de control Unidad aritmética y lógica (ALU)

INDICE Programa Entrada Unidad de control Unidad aritmética y lógica (ALU) INDICE Capitulo 1. Qué es un computador? 1.1. Introducción 1 1.2. El computador como dispositivo electrónico 2 1.3. Cómo se procesa la información? 3 1.4.Diagrama de bloques de un computador 1.4.1. Información

Más detalles

GENERADOR DE SECUENCIAS PROGRAMADAS PARA LA VERIFICACIÓN DE SISTEMAS DIGITALES GSProg

GENERADOR DE SECUENCIAS PROGRAMADAS PARA LA VERIFICACIÓN DE SISTEMAS DIGITALES GSProg GENERADOR DE SECUENCIAS PROGRAMADAS PARA LA VERIFICACIÓN DE SISTEMAS DIGITALES GSProg JOSE LUIS SALAZAR MONTES GABRIEL ALEJANDRO TOVAR TORRES PONTIFICIA UNIVERSIDAD JAVERIANA FACULTAD DE INGENIERÍA CARRERA

Más detalles

GUÍA PRÁCTICA DEL SOFTWARE ACTIVE-HDL.

GUÍA PRÁCTICA DEL SOFTWARE ACTIVE-HDL. 24/9/2013 ÁREA DE TECNOLOGÍA ELECTRÓNICA UBU GUÍA PRÁCTICA DEL SOFTWARE ACTIVE-HDL. Manual de Inicio Miguel Ángel Lozano Pérez Contenido 1.1 GUÍA DE SOFTWARE... 3 1.1.1 Primer paso: crear un diseño nuevo...

Más detalles

Tema 3 - Modelado con HDL a nivel RTL

Tema 3 - Modelado con HDL a nivel RTL - Modelado con HDL a nivel RTL Eduardo Rodríguez Martínez Departamento de Electrónica División de Ciencias Básicas e Ingeniería Universidad Autónoma Metropolitana Unidad Azcapotzalco Email: erm@correo.azc.uam.mx

Más detalles

TEMA 1: Concepto de ordenador

TEMA 1: Concepto de ordenador TEMA 1: Concepto de ordenador 1.1 Introducción Los ordenadores necesitan para su funcionamiento programas. Sin un programa un ordenador es completamente inútil. Para escribir estos programas necesitamos

Más detalles

PIC16F882/883/884/886/887

PIC16F882/883/884/886/887 12.0 Transmisor Receptor Síncrono Asíncrono Universal Mejorado. EUSART. El módulo Transmisor Receptor Síncrono Asíncrono Mejorado, en adelante, EUSART, es un periférico de comunicación serie de entrada/salida.

Más detalles

5. Decodificadores. Salida _1= A A A A = m = M ... Electrónica Digital. Tema

5. Decodificadores. Salida _1= A A A A = m = M ... Electrónica Digital. Tema 5. Decodificadores La función de un decodificador es la siguiente: ante una combinación concreta binaria de entrada (correspondiente a una combinación de algún código binario), activar una salida correspondiente

Más detalles

PCF8574 EXPANSOR REMOTO 8-BIT I/O PARA I²C-BUS

PCF8574 EXPANSOR REMOTO 8-BIT I/O PARA I²C-BUS PCF8574 EXPANSOR REMOTO 8-BIT I/O PARA I²C-BUS 1. CARACTERISTCAS Suministro de voltaje de funcionamiento 2.5 a 6 V Bajo consumo de corriente de espera (standby) de 10 ma máximo. Expansor I²C a puerto paralelo.

Más detalles

HARDWARE USB/RS232 (28 servos)

HARDWARE USB/RS232 (28 servos) HARDWARE USB/RS232 (28 servos) MANUAL DE MONTAJE Diseño de: J.V.M INDICE 1- MONTAJE DEL HARDWARE.. PAG 2 LISTA DE MATERIALES INSTRUCCIONES PARA EL MONTAJE PINEADO DEL MICROCONTROLADOR 2- ESQUEMA ELECTRONICO

Más detalles

Tema 9 Periféricos de Entrada

Tema 9 Periféricos de Entrada Tema 9 Periféricos de Entrada Periféricos e Interfaces Tema 9/1 Contenido TECLADOS DISPOSITIVOS APUNTADORES DIGITALIZADORES Teclados Tecnología y Tipos Estructura Funcionalidad Interfaz al computador:

Más detalles

I2C. Ing. Pablo Martín Gomez pgomez@fi.uba.ar

I2C. Ing. Pablo Martín Gomez pgomez@fi.uba.ar I2C Ing. Pablo Martín Gomez pgomez@fi.uba.ar 1 Comunicaciones en un bus serie 2 Comunicaciones en un bus serie 3 I²C Velocidad 4 UART Universal Asynchronous Receiver Transmitter Estándar de comunicación

Más detalles

INTRODUCCIÓN AL SOFTWARE ISE (Integrated Software Environment) DE XILINX

INTRODUCCIÓN AL SOFTWARE ISE (Integrated Software Environment) DE XILINX INTRODUCCIÓN AL SOFTWARE ISE (Integrated Software Environment) DE XILINX Índice GUÍA DE INICIO AL SOFTWARE ISE DE XILINX... 1 1. Introducción... 1 2. Inicio del software ISE (Integrated Software Environment)

Más detalles

Tema: Microprocesadores

Tema: Microprocesadores Universidad Nacional de Ingeniería Arquitectura de Maquinas I Unidad I: Introducción a los Microprocesadores y Microcontroladores. Tema: Microprocesadores Arq. de Computadora I Ing. Carlos Ortega H. 1

Más detalles

Sistemas Secuenciales

Sistemas Secuenciales Electrónica Básica Sistemas Secuenciales Electrónica Digital José Ramón Sendra Sendra Dpto. de Ingeniería Electrónica y Automática ULPGC CIRCUITOS SECUENCIALES Combinacional: las salidas dependen de las

Más detalles

SUPER CONTROLADOR DE SERVOS S310175

SUPER CONTROLADOR DE SERVOS S310175 SUPER CONTROLADOR DE SERVOS S310175 Controlador para servos con retroalimentación del par motor No es necesario realizar modificaciones a los servos Características: Sus ocho salidas independientes de

Más detalles

Circuito de refresco de un Display

Circuito de refresco de un Display DEPARTAMENTO DE TECNOLOGÍA ELECTRÓNICA ESCUELA TÉCNICA SUPERIOR DE INGENIERÍA INFORMÁTICA Circuito de refresco de un Display Sistemas Digitales Avanzados 1. Introducción y objetivos Uno de los objetivos

Más detalles

Comunicación Serie RS 232

Comunicación Serie RS 232 Comunicación Serie RS 232 CONTENIDO: Comunicación Serial, Tipos, Historia, Descripción del Estándar, Características, Conexiones y Parametros 5 B ELECTRÓNICA 2010 Puerto RS 232 o Puerto Serie Qué es la

Más detalles

APUNTE DEL 8155 ELECTRÓNICA DIGITAL III

APUNTE DEL 8155 ELECTRÓNICA DIGITAL III APUNTE DEL 8155 ELECTRÓNICA DIGITAL III Revisión 1.1 Marzo, 2011 Interfaz a periférico 8155 Descripción general El chip 8155 es un dispositivo introducido por Intel en 1977. Contiene memoria RAM (SRAM)

Más detalles

2384-27. ICTP Latin-American Advanced Course on FPGADesign for Scientific Instrumentation. 19 November - 7 December, 2012

2384-27. ICTP Latin-American Advanced Course on FPGADesign for Scientific Instrumentation. 19 November - 7 December, 2012 2384-27 ICTP Latin-American Advanced Course on FPGADesign for Scientific Instrumentation 19 November - 7 December, 2012 Sequential Logic Described in VHDL - A Design Example ARTECHE DIAZ Raul Center of

Más detalles

Simulín. Qué es Simulín? Características. Simulador de circuitos digitales para uso docente. v5.60 (Julio 2014) Función lógica (expresión algebraica)

Simulín. Qué es Simulín? Características. Simulador de circuitos digitales para uso docente. v5.60 (Julio 2014) Función lógica (expresión algebraica) Folleto de presentación Simulín Simulín Simulador de circuitos digitales para uso docente v5.60 (Julio 2014) Características Circuitos Combinacionales Puertas lógicas básicas (NOT, AND, OR, XOR, NAND,

Más detalles

NT 3 PROGRAMACION ON-LINE DE TODA LA FAMILIA APPCON

NT 3 PROGRAMACION ON-LINE DE TODA LA FAMILIA APPCON NT 3 PROGRAMACION ON-LINE DE TODA LA FAMILIA APPCON Introducción El objetivo de esta nota técnica es que el usuario tenga la capacidad de configurar los parámetros de los módulos de toda la familia APPCON

Más detalles

Diseño de una calculadora

Diseño de una calculadora DEPARTAMENTO DE TECNOLOGÍA ELECTRÓNICA ESCUELA TÉCNICA SUPERIOR DE INGENIERÍA INFORMÁTICA Diseño de una calculadora Sistemas Digitales Avanzados 1. Introducción y objetivos El propósito general de esta

Más detalles

FPGA: Herramientas de diseño

FPGA: Herramientas de diseño FPGA: Herramientas de diseño Proceso diseño Design and implement a simple unit permitting to speed up encryption with RC5-similar cipher with fixed key set on 8031 microcontroller. Unlike in the experiment

Más detalles

Redes de Comunicaciones. Ejercicios de clase Tema 3

Redes de Comunicaciones. Ejercicios de clase Tema 3 Redes de Comunicaciones Ejercicios de clase Tema 3 Tema 3. Ejercicio Sobre un nivel de enlace que implanta el protocolo de bit alternante se añade un tercer nivel de aplicación que incluye una aplicación

Más detalles

JENNIFER NATHALY MUÑOZ RENGIFO FERNANDO ARIAS LEANDRO ORDÓÑEZ ANTE. Ing. JUAN SEBASTIAN CABRERA

JENNIFER NATHALY MUÑOZ RENGIFO FERNANDO ARIAS LEANDRO ORDÓÑEZ ANTE. Ing. JUAN SEBASTIAN CABRERA FASE DE DESARROLLO II ADQUISICIÓN DE SEÑALES EMG (Detección de movimientos de Extensión y Flexión) ADQUISICIÓN DE SEÑAL DESPLIEGUE Y ALMACENAMIENTO EN SOFTWARE JENNIFER NATHALY MUÑOZ RENGIFO FERNANDO ARIAS

Más detalles

PIC MICRO ESTUDIO Reloj en tiempo real RTCU2 Clave: 719 www.electronicaestudio.com

PIC MICRO ESTUDIO Reloj en tiempo real RTCU2 Clave: 719 www.electronicaestudio.com PIC MICRO ESTUDIO Reloj en tiempo real RTCU2 Clave: 719 www.electronicaestudio.com Guía de Operación Reloj en tiempo real Modulo: RTCU2iempo real Clave: 719 El modulo 719 Reloj en tiempo real- utiliza

Más detalles

Laboratorio virtual para la programación de FPGAs

Laboratorio virtual para la programación de FPGAs Laboratorio virtual para la programación de FPGAs Joaquín Olivares, Alfonso Merino, José M. Palomares y Miguel A. Montijano Escuela Politécnica Superior, Universidad de Córdoba, España olivares@uco.es

Más detalles

Conversor RS232-RS485/RS422 Aislado MCV1-C485-IA-IS. Manual del Usuario. Power. TX Data. RX Data MCV1. Internet Enabling Solutions.

Conversor RS232-RS485/RS422 Aislado MCV1-C485-IA-IS. Manual del Usuario. Power. TX Data. RX Data MCV1. Internet Enabling Solutions. Conversor RS232-RS485/RS422 Aislado -C485-IA-IS Manual del Usuario Power TX Data RX Data Internet Enabling Solutions www.exemys.com Los Productos están en permanente evolución para satisfacer las necesidades

Más detalles

Dpto. de Electrónica 2º GM - EMTT. Tema 6 La Memoria Principal (RAM)

Dpto. de Electrónica 2º GM - EMTT. Tema 6 La Memoria Principal (RAM) Dpto. de Electrónica 2º GM - EMTT Tema 6 La Memoria Principal (RAM) La Memoria RAM. Es el sitio donde la CPU almacena los datos e instrucciones que se están utilizando en tiempo real. Todos los programas

Más detalles

Smart Buffer Plus Guía de Instalación y Configuración

Smart Buffer Plus Guía de Instalación y Configuración Smart Buffer Plus Guía de Instalación y Configuración INDICE 1- Introducción...4 2- Conexiones Hardware...5 2.1 Significado de los LED... 5 3- Configuración de Smart Buffer Plus...6 3.1 Configuración

Más detalles

Lab 5: Contador decimal en System Generator

Lab 5: Contador decimal en System Generator Lab 5: Contador decimal en System Generator Introducción Objetivos Este laboratorio guia al asistente a través de las herramientas System Generator y Simulink para analizar la representación de números

Más detalles

Diseño VHDL de módulos combinacionales básicos

Diseño VHDL de módulos combinacionales básicos GRUPO DE DISEÑO HARDWARE SOFTWARE DIET ESCET URJC W H S W Informe técnico: 001_01 Fecha: 03-03-2006 Proyecto: Subproyecto: Herramientas software: Componentes hardware: Docencia VHDL Veribest Ad-hoc IT_001_01

Más detalles

Sistemas de Interconexión entre Redes LAN

Sistemas de Interconexión entre Redes LAN Los puentes Sistemas de Interconexión entre Redes LAN Generalidades Los puentes (bridges) operan en la capa de enlace de datos Sólo se usan las direcciones del nivel 2 de OSI En caso de redes IEEE 802.x,

Más detalles

Contador de pulsos para Servomecanismos

Contador de pulsos para Servomecanismos Contador de pulsos para Servomecanismos René de J. Romero Troncoso 1, Juan Arturo Orozco Cardiel 2 Facultad de Ingeniería Mecánica, Eléctrica y Electrónica. Universidad de Guanajuato. Av. Tampico 912,

Más detalles

Tema: Configuración de Access Point

Tema: Configuración de Access Point Tema: Configuración de Access Point Contenidos Materiales y Equipo Redes de datos inalámbricas. Guía 2 1 Reinicio del Access Point. Asignación de las configuraciones básicas. Configuración del AP como

Más detalles

ARQUITECTURA DE LAS COMPUTADORAS. UNIDAD 7: Unidades de Entrada-Salida

ARQUITECTURA DE LAS COMPUTADORAS. UNIDAD 7: Unidades de Entrada-Salida ARQUITECTURA DE LAS COMPUTADORAS UNIDAD 7: Unidades de Entrada-Salida Transferencia de ENTRADA Y SALIDA Hasta ahora se ha estudiado la interconexión del procesador (CPU) y la unidad de memoria de acceso

Más detalles

TUTORIAL Comunicación Serial

TUTORIAL Comunicación Serial 1 TUTORIAL Comunicación Serial OBJETIVOS Manejar el módulo USART para comunicaciones seriales asíncronas. Realizar transmisiones y recepciones seriales útiles para el control y monitoreo de eventos. INTRODUCCIÓN:

Más detalles

GUÍA RÁPIDA CONFIGURACIÓN PLUGIN Y DRIVER KRONOS NET

GUÍA RÁPIDA CONFIGURACIÓN PLUGIN Y DRIVER KRONOS NET GUÍA RÁPIDA CONFIGURACIÓN PLUGIN Y DRIVER KRONOS NET TÍTULO GUÍA CONFIGURACIÓN PLUGIN Y DRIVER VERSIÓN 1.00 FECHA 2015 07 23 ESTADO POR REVISAR COD CONTROL GUÍA CONFIGURACIÓN PLUGIN Y DRIVER Los drivers

Más detalles

TARJETA DE DESARROLLO CPLD

TARJETA DE DESARROLLO CPLD TARJETA DE DESARROLLO CPLD XC9572xl Serie 1 Características CPLD XC9572 xl vq64. o VQFP - 64 pines. o 52 pines I/O de usuario. o 5ns de retardo entre pines. o Frecuencia hasta 178MHz. o 72 macroceldas.

Más detalles

Circuitos Secuenciales: concepto de estado

Circuitos Secuenciales: concepto de estado Lógica Secuencial Circuitos Secuenciales: concepto de estado En los sistemas secuenciales la salida Z en un determinado instante de tiempo t i depende de X en ese mismo instante de tiempo t i y en todos

Más detalles

Transmisi n de Datos a Trav s de un PIC

Transmisi n de Datos a Trav s de un PIC Transmisi n de Datos a Trav s de un PIC 4 Introducción Tal como lo dice MICROCHIP en sus páginas Web, y según lo que hemos podido experimentar, los microcontroladores PIC16CXXX son de alto rendimiento

Más detalles

Test de Fundamentos de Electrónica Industrial (4 puntos). 3º GITI. TIEMPO: 40 minutos May 2013

Test de Fundamentos de Electrónica Industrial (4 puntos). 3º GITI. TIEMPO: 40 minutos May 2013 1) Cual de las siguientes expresiones es correcta A) A+B+B =A+B B) A+B+(A.B )=A C) (A.B)+(A.C)+(B.C)=(A.B)+(B.C) D) A.B =A +B 2) La figura adjunta se corresponde con la estructura interna de un circuito:

Más detalles

4. SUMADORES EN BINARIO PURO (I)

4. SUMADORES EN BINARIO PURO (I) TEMA 3: SISTEMAS ARITMÉTICOS Introducción y objetivos (3). Representación y codificación de la información (4-7) 2. Sistemas numéricos posicionales. Binario, hexadecimal, octal, y BCD. (8-33) 3. Números

Más detalles

Manual de instalación Cable de interfaz USB

Manual de instalación Cable de interfaz USB KERN & Sohn GmbH Ziegelei 1 D-72336 Balingen E-mail: info@kern-sohn.com Tlfn.: +49-[0]7433-9933-0 Fax.: +49-[0]7433-9933-149 Web: www.kern-sohn.com Manual de instalación Cable de interfaz USB KERN DBS-A02

Más detalles

Anexo LA TRANSMISIÓN POR PUERTO SERIE

Anexo LA TRANSMISIÓN POR PUERTO SERIE Anexo LA TRANSMISIÓN POR PUERTO SERIE 1. LAS COMUNICACIONES SERIE Cuando nos comunicamos en serie, cada byte o carácter de datos que mandamos o recibimos se envía bit a bit. Cada uno de estos bits puede

Más detalles

MPI. Índice. Tecnologías de Control. TEMA MPI 1. Subred MPI. 2. Comunicación de Datos Globales. 3. Funciones Básicas S7. 4.

MPI. Índice. Tecnologías de Control. TEMA MPI 1. Subred MPI. 2. Comunicación de Datos Globales. 3. Funciones Básicas S7. 4. MPI Tecnologías de Control Índice TEMA MPI 1. Subred MPI 1.1 La Red MPI 1.2 Datos Técnicos 1.3 Condiciones Referentes al Hardware 1.4 Comparación PROFIBUS-MPI 1.5 Tipos de Comunicaciones 2. Comunicación

Más detalles

MICROCONTROLADORES PIC

MICROCONTROLADORES PIC MICROCONTROLADORES PIC LOS TIMER DE LOS 16F87x TEMA EL TIMER 1 CCFF D.P.E. MÓDULO DE PROYECTOS 1 Diagrama de Bloques del TIMER1 CCFF D.P.E. MÓDULO DE PROYECTOS 2 INTRODUCCIÓN El módulo TIMER1 es un temporizador/contador

Más detalles

Tutoría 2. Banco de memoria de 8 y 16 bits (8086)

Tutoría 2. Banco de memoria de 8 y 16 bits (8086) Tutoría 2. Banco de memoria de 8 y 16 bits (8086) RESUMEN Cuando el procesador opera en modo mínimo, éste genera las señales de control para la memoria y los dispositivos de E/S. [1, pág. 292]. Para utilizar

Más detalles

Arquitectura de Computadores. Práctica 1: Diseño Hardware de Procesadores. Práctica 1/1

Arquitectura de Computadores. Práctica 1: Diseño Hardware de Procesadores. Práctica 1/1 Arquitectura de Computadores Práctica 1: Diseño Hardware de Procesadores Práctica 1/1 Práctica 1 Ejercicio 1: Modelado VHDL de la etapa IF en el procesador DLX32 Ejercicio 2: Ampliación Y Especialización

Más detalles

Instituto Tecnológico de Massachussets Departamento de Ingeniería Eléctrica e Informática Circuitos Electrónicos Otoño 2000

Instituto Tecnológico de Massachussets Departamento de Ingeniería Eléctrica e Informática Circuitos Electrónicos Otoño 2000 Instituto Tecnológico de Massachussets Departamento de Ingeniería Eléctrica e Informática 6.002 Circuitos Electrónicos Otoño 2000 Práctica 4: Amplificadores inversores MOSFET y circuitos de primer orden

Más detalles

CONTADORES. Definición. Diseño y analisis de un contador binario hacia arriba de 3 bits con flip-flops JK. Otros contadores típicos.

CONTADORES. Definición. Diseño y analisis de un contador binario hacia arriba de 3 bits con flip-flops JK. Otros contadores típicos. CONTADORES Definición Diseño y analisis de un contador binario hacia arriba de 3 bits con flip-flops JK. Otros contadores típicos Descripción VHDL Ejercicios Definición Un contador es un circuito digital

Más detalles

BIBLIOGRAFIA TEORIA DE CIRCUITOSY DISPOSOTIVOS BOYLESTAD ELECTRONICA DIGITAL TOKHEIM SISTEMAS DIGITALES TOCCI

BIBLIOGRAFIA TEORIA DE CIRCUITOSY DISPOSOTIVOS BOYLESTAD ELECTRONICA DIGITAL TOKHEIM SISTEMAS DIGITALES TOCCI Guía de preparación para el examen ELECTRONICA CxTx En esta materia básicamente se evalúan temas tales como son: MULTIVIBRADORES, MEMORIAS, CONTADORES Y COMPUERTAS LOGICAS, SUMADOR RESTADOR Y MICROPOCESADORES

Más detalles

UNIVERSIDAD NACIONAL MAYOR DE SAN MARCOS FACULTAD DE INGENIERIA ELECTRONICA ESCUELA DE ELECTRONICA

UNIVERSIDAD NACIONAL MAYOR DE SAN MARCOS FACULTAD DE INGENIERIA ELECTRONICA ESCUELA DE ELECTRONICA UNIVERSIDAD NACIONAL MAYOR DE SAN MARCOS FACULTAD DE INGENIERIA ELECTRONICA ESCUELA DE ELECTRONICA LABORATORIO Nª2: Descripciòn VHDL utilizando el Estilo Algoritmico 1.-Implemente y simule el circuito

Más detalles

Práctica I Modelado y simulación de una máquina expendedora de refrescos

Práctica I Modelado y simulación de una máquina expendedora de refrescos Práctica I Modelado y simulación de una máquina expendedora de refrescos Departamento de Ingeniería Electrónica de Sistemas Informáticos y Automática 2 1.1. Objetivos. En esta práctica vamos a modelar

Más detalles

Equipamiento ADSL» Inalámbrico. Adaptador USB PAUTAS PARA LA VERIFICACION TCP/IP

Equipamiento ADSL» Inalámbrico. Adaptador USB PAUTAS PARA LA VERIFICACION TCP/IP Equipamiento ADSL» Inalámbrico Adaptador USB PAUTAS PARA LA VERIFICACION TCP/IP PAUTAS PARA LA VERIFICACIÓN TCP/IP Este documento describe cómo preparar su PC para su conexión a una red inalámbrica después

Más detalles

SISTEMA DE ADQUISICIÓN Y VISUALIZACIÓN DE PARÁMETROS BRINDADOS POR MÓDULO GPS

SISTEMA DE ADQUISICIÓN Y VISUALIZACIÓN DE PARÁMETROS BRINDADOS POR MÓDULO GPS SISTEMA DE ADQUISICIÓN Y VISUALIZACIÓN DE PARÁMETROS BRINDADOS POR MÓDULO GPS Perassi, Matías 1 ; Barbero, Mauro 2 ; Secrestat, Nicolás 3 ; Peretti, Gastón 4 1 Alumno Universidad Tecnológica Nacional Facultad

Más detalles

PRÁCTICA 4 Montaje y evaluación de sistemas secuenciales.

PRÁCTICA 4 Montaje y evaluación de sistemas secuenciales. Montaje y evaluación de sistemas secuenciales. 1.- Objetivos: El objetivo de este módulo es familiarizar al alumno con los sistemas secuenciales partiendo del más sencillo (un biestable) para llegar al

Más detalles