TUTORIAL CUPL (actualizado 11/07/2005)

Tamaño: px
Comenzar la demostración a partir de la página:

Download "TUTORIAL CUPL (actualizado 11/07/2005)"

Transcripción

1 Diseño de Circuitos Digitales 1 TUTORIAL CUPL (actualizado 11/07/2005) En este documento encontrará una ayuda para el manejo del software para el diseño, simulación e implementación en una GAL22V10 de circuitos digitales. 1. ABRIR EL PROGRAMA Se da un clic en inicio-programas-cupl starter kit, luego clic en el icono CUPL. Figura. Nº Inicialmente aparece una ventana de bienvenida por unos segundos, luego aparece la ventana principal, y aparece una ventana Message que puede ser minimizada. Se procede de la siguiente manera file-new. Figura. Nº 2. Aparece en pantalla una plantilla que será útil para la elaboración del diseño que queremos realizar. Como se muestra en figura 3 1

2 Diseño de Circuitos Digitales 2 Figura. Nº 3. Este archivo también podrá ser abierto en C:\wincupl\tmpl.pld. 3. Es conveniente guardar el archivo cada cierto tiempo para evitar perdidas de información si ocurre algún problema ya sea del programa, PC o condiciones externas. Cuando se va a guardar por primera vez se ingresa al menú File-Saveas. Figura. Nº 4. En el espacio Filename, debe escribir el nombre del archivo, se sugiere utilizar nombres que tengan que ver con el diseño que se esta realizando por ejemplo si se esta haciendo una secuencia de luces utilizar 2

3 Diseño de Circuitos Digitales 3 sec_luces, el archivo debe ser guardado con la extensión *.pld. Además aparece una dirección (Directory) que es donde se guardará, si desea cambiarlo deberá ingresar también el directorio donde lo quiere hacer. Por ejemplo: Filename: D:\mis documentos\laboratorio\prac_1.pld Luego de esto presione OK. Para guardar cambios después ingrese al menú File-Save ó presione <F2>. 4. El archivo que se va a crear esta divido en tres partes: (se seguirá la plantilla que se muestra en la figura 3) Título o encabezado: Todo este encabezado es requerido y se deberá tener a la hora de generar el archivo, pero solamente el campo del Name deberá tener el valor real ya que este nombre que se utilice aquí será el utilizado por el programa para generar el archivo *.Jed que es el utilizado para programar el dispositivo con el cual se hará la implementación del circuito. Aunque se recomienda documentar estos archivos con el fin de tener información clara sobre el archivo que se genero. Se deben utilizar máximo 8 caracteres. Por ejemplo: Como se puede ver cada línea se termina con punto y coma (;). Posteriormente se puede poner una breve descripción o comentario de lo que se va hacer, esto se debe hacer de la siguiente manera: /* Secuencia de luces a una velocidad de un Hertz*/ La información que se encuentre entre estos símbolos /* */ serán comentarios y no harán parte del diseño. Puede incluir varias líneas. 3

4 Diseño de Circuitos Digitales 4 Declaraciones: Declaración de pines de entradas y salidas: Especifica los pines que van a ser usados y las variables que son asignadas a cada uno de estos. Por ejemplo: También se pueden hacer asignaciones de la siguiente manera: Declaración de variables intermedias: Son variables que no tienen asignado un pin y pueden ser útiles para reducir las expresiones correspondientes a cada salida. Por ejemplo: Cuerpo: Este contiene toda la lógica necesaria que se quiere programar. En el se pueden hacer maquinas de estado Mayle o Moore y ecuaciones de lógica combinacional y secuencial, entre otros. Por ejemplo: 5. Después de guardar todos los cambios realizados, se compila para verificar si quedo bien desarrollado el archivo, de la siguiente manera: ingrese al menú Option-compile options 4

5 Diseño de Circuitos Digitales 5 Ingrese a Output file, seleccione: JEDEC, fuse plot, equations, absolute, list. 5

6 Diseño de Circuitos Digitales 6 Presione OK y nuevamente OK. 6. Elija el dispositivo en el cual se va ha implantar, en este caso se elegirá la pal22v10 es el dispositivo que servirá para implementar el diseño en la Gal22v10. Ingrese al menú Option-select device Presione OK. Ingrese al menú Run-Device Specific compile, si se genera un mensaje de error puede observar cual es el error, maximizando la ventana que salio inicialmente Message, sino hay errores se procede hacer la simulación. 7. Se debe realizar un archivo para generar la simulación, para esto abra la plantilla existente para realizar este que se encuentra en C:\wincupl\tmpl.si y guárdelo con otro nombre pero con la misma extensión en el directorio donde se encuentra el archivo con extensión (.pld). 6

7 Diseño de Circuitos Digitales 7 Al igual que en el archivo de diseño este archivo también consta de 3 partes: Titulo o encabezado Este es el mismo que se pone en el archivo anterior. Orden En este se da el orden de todas las variables tanto de entrada como de salida para el dispositivo. (Teniendo en cuenta los bits mas significativos). Estas van separadas por comas, y se finaliza con punto y coma ;. Contiene la lista de vectores para la prueba que se desea aplicar a las variables definidas anteriormente. 7

8 Diseño de Circuitos Digitales 8 El asterisco es cambiado por el simulador por el valor correspondiente. Con base en los ejemplos que se han hecho a lo largo de este tutorial se tiene en cuenta que en orden de izquierda a derecha cada columna corresponde a: a, b, c, d, L1, L2. 8. Después de crear el archivo de simulación y guardarlo ingrese al menú Option-simulator options y seleccione Listenig File. Presione OK. Luego ingrese al menú Run- Device Specific Simulate, si se presentan errores abra el archivo con extensión.so y allí se puede obsevar el error, sino hay ningún error en el mismo archivo con extensión.so encuentra los resultados de la simulación en la parte inferior. 8

9 Diseño de Circuitos Digitales 9 Como se ve en la grafica anterior se generan los estados de las salidas con base en lo generado anteriormente en el archivo de simulación. 9. Después de verificar que la simulación si arroja los resultados esperados, utilice el archivo con extensión.jed para programar al GAL22V10 con el chip master utilizado para este proceso. Ejemplo paso a paso: Implementar funciones lógicas utilizando circuitos combinacionales. En un edificio de 4 pisos hay un ascensor el cual funciona de la siguiente forma: Existen 4 señales para indicar que se va a utilizar el ascensor, cada una es un pulsador en cada piso como muestra la figura. Cuando un usuario desea utilizarlo oprime el interruptor del piso en el cual se encuentre, esto transmite una señal al sistema de control del ascensor. Esta señal llega en dos líneas C1 C0 que indican en binario el número del piso desde el cual se solicita el ascensor. Diseñe un circuito digital que reciba las señales de los pulsadores de cada piso como entradas y que entregue al sistema de control del ascensor, el par C1, C0. Además de esto, debido a que en 2 o más pisos pueden estarse activando 2 o más pulsadores al tiempo, debe diseñarse el circuito de tal forma que se le dé prioridad de atención a la señal del piso más alto activado. En el caso en el cual no hay activada ningún pulsador (caso en el cual nadie utiliza el ascensor) debe activarse una línea NP la cual entrega al sistema de control la información de que el ascensor esta sin uso. En otro caso, la señal NP (no petición de servicio) esta desactivada. 9

10 Diseño de Circuitos Digitales 10 Solución: Son 4 entradas y 3 salidas, P1, P2, P3, P4, C0, C1 y NP respectivamente. De acuerdo con las condiciones de funcionamiento expresadas en el enunciado, se puede obtener la siguiente tabla de verdad del circuito a implementar. Y posteriormente con esta se obtienen las ecuaciones: PARA P1, P2, P3, P4 0 = INACTIVO 1= ACTIVO C1 C2 0 0 = PISO = PISO = PISO = PISO 4 NP = 1 NO HAY SOLICITUD. 10

11 Diseño de Circuitos Digitales 11 P4 P3 P2 P1 C2 C1 NP NP = P1.P2.P3.P4 (donde ( ) significa que esta inactivo) C2 = P1.P2.P3.P4 + P1.P2.P3.P4 + P1.P2.P3.P4 + P1.P2.P3.P4 + P1.P2.P3.P4 + P1.P2.P3.P4 + P1.P2.P3.P4 + P1.P2.P3.P4 + P1.P2.P3.P4 + P1.P2.P3.P4 + P1.P2.P3.P4 + P1.P2.P3.P4 C1 = P1.P2.P3.P4 + P1.P2.P3.P4 + P1.P2.P3.P4 + P1.P2.P3.P4 + P1.P2.P3.P4 + P1.P2.P3.P4 + P1.P2.P3.P4 + P1.P2.P3.P4 + P1.P2.P3.P4 + P1.P2.P3.P4 Con base en estas se procede a utilizar el CUPL. Después de abrir el programa se abre el archivo TMPL.pld para utilizarlo como guía y se hacen las modificaciones necesarias resultando lo siguiente: Después de guardar y especificar las opciones de compilación, si compila antes de guardar podrá perder los cambios realizados, luego elegir el chip en el cual se va a programar, en este caso utilizaremos la gal22v10 pero no se encuentra disponible en las librerías del cupl por lo que se elegirá la pal22v10. Luego puede compilar. 11

12 Diseño de Circuitos Digitales 12 12

13 Diseño de Circuitos Digitales 13 La grafica anterior muestra que compilo bien, ahora se procede a hacer la simulación. Creando el archivo necesario utilizando el TMPL.si que sirve de guía para el desarrollo de este y esta incluido en las librerías del CUPL. Haciendo las modificaciones resulta: 13

14 Diseño de Circuitos Digitales 14 Después de generar este archivo y elegir las opciones de simulación se corre la simulación y se abre el archivo que se genero en la misma carpeta donde se estaba trabajando con extensión *.so este contiene el resultado de la simulación como se muestra en la siguiente grafica. Este resultado puede ser comparado con la tabla de verdad que se había utilizado al principio. Si los resultados eran los esperados se puede programar para probar y hacer el montaje requerido, incluyendo la polarización de la Gal. 14

15 Diseño de Circuitos Digitales 15 Luego puede abrir el archivo con extensión.doc generado por CUPL donde podrá encontrar en la parte inferior un diagrama con la asignación de los pines como se muestra en la siguiente gráfica, que será útil en el momento de implementar el circuito. 15

16 Diseño de Circuitos Digitales 16 IMPORTANTE A continuación se enumeran algunas cosas que se deben tener en cuenta a la hora de utilizar este programa. Extensiones de archivos generados: PLD: Creado por el usuario, contiene todas las instrucciones lógicas necesarias para programar los dispositivos. DOC: Creado por CUPL, contiene todo de las ecuaciones de la lógica que CUPL generó de su programa, muestra los errores que encontró al compilar el programa (localización del error) y provee información acerca de cómo se selecciono la lógica en el dispositivo usado además el chip Diagram. ABS: Creado por CUPL, usado por este para ejecutar la simulación. LST: Creado por CUPL, enumera las líneas correspondientes al código generado y al final los errores con el numero correspondiente de la línea. JED: Creado por CUPL, archivo usado por el programador para programar el chip usado para implementar el diseño. SI: Archivo de entrada para simulación creado por el usuario, contiene los vectores de prueba. SO: Archivo de salida para simulación creado por CUPL, contiene los resultados de la simulación, incluyendo los errores presentes. Variables y símbolos reservados por el programa, estas no pueden ser usadas para asignación de las variables que deseamos implementar, estas tampoco deben tener espacios. 16

17 Diseño de Circuitos Digitales 17 Se pueden representar números en binario, octal, decimal, o hexadecimal. La asignación de pines e índices de variables se da en decimal, para el resto de números esta por defecto en hexadecimal, y para especificar una base debe estar precedido por su prefijo. Declaraciones: Comandos: El comando mas usado es $DEFINE, asigna a una variable un valor o estado. Por ejemplo: $MACRO and $REPEAT, estos dos comandos forman un ciclo que se repite cuantas veces se desee. Por ejemplo: Que equivale a 17

18 Diseño de Circuitos Digitales 18 Operaciones aritméticas: Operaciones lógicas: NOTA IMPORTANTE!!! El Pin número 1 en la gal22v10 es utilizado para asignar el reloj y es la unica entrada de reloj de la gal. 18

19 Diseño de Circuitos Digitales 19 Ejemplos 1. Realización de un registro de corrimiento Como ejemplo para un registro de corrimiento se realizará la implementación del circuito integrado shift register 74194, mediante la sintaxis CUPL. SHIFT REGISTER Name shift_r; Partno g_01; Date 22/05/05; Revision 01; Designer grupo1; Company udea; Assembly none; Location none; Device GAL22V10; /******************************************************************/ /* */ /* */ /* */ /******************************************************************/ /* Allowable Target Device Types: */ /******************************************************************/ /** Inputs **/ 19

20 Diseño de Circuitos Digitales 20 Pin 1 = clk ;/*señal de reloj*/ pin 2 = S0 ;/*linea de seleccion de desplazamiento, carga o permanencia*/ pin 3 = S1 ;/*linea de seleccion de desplazamiento, carga o permanencia*/ pin 4 = clear ; pin 5 = lin ;/*bit que se desplazara hacia la izquierda*/ pin 6 = rin ;/*bit que se desplazara hacia la derecha*/ pin 7 = INd ;/*entrada de carga paralela "d"*/ pin 8 = INc ;/*entrada de carga paralela "c"*/ pin 9 = INb ;/*entrada de carga paralela "b"*/ PIN 10 = INa ;/*entrada de carga paralela "a"*/ /** Outputs **/ Pin 23 = Qd ;/*salidas correspondientes al shift register "d"*/ Pin 22 = Qc ;/*salidas correspondientes al shift register "c"*/ Pin 21 = Qb ;/*salidas correspondientes al shift register "b"*/ Pin 20 = Qa ;/*salidas correspondientes al shift register "a"*/ /** Declarations and Intermediate Variable Definitions **/ /*no hay variables intermedias*/ /** Logic Equations **/ Qa.d =!clear & ((Qa&!S1&!S0)#(rin&!S1&S0)#(Qa&S1&!S0)#(INa&S1&S0)); Qb.d =!clear & ((Qb&!S1&!S0)#(Qa&!S1&S0)#(Qc&S1&!S0)#(INb&S1&S0)); Qc.d =!clear & ((Qc&!S1&!S0)#(Qb&!S1&S0)#(Qd&S1&!S0)#(INc&S1&S0)); Qd.d =!clear & ((Qd&!S1&!S0)#(Qc&!S1&S0)#(rin&S1&!S0)#(INd&S1&S0)); /*En las ecuaciones lógicas se dan a conocer las acuaciones que corresponden a cada*/ /*una de las salidas de los flip-flop que integran un shift register 74194*/ 2. Hacer una máquina de estado Un ejemplo de un circuito secuencial se muestra en la figura 1.1. Este tiene una variable de entrada X, una variable de salida Z y dos flip-flops. Se asume, que hay cambios en los flip-flops en los flancos negativos del CK (reloj): Fig. 1.1 De la figura 1.1 obtenemos lo siguiente: 20

21 Diseño de Circuitos Digitales 21 J 1 = X + Q 2 K 1 = X Q 2 J 2 = Q 1 K 2 = X Q = X + 1 (teorema de Demorgan) Z = X Q 1 Q2 1 Q Tabla de estado: La secuencia de tiempo de las entradas, salidas y estados de los flip-flops puede representarse en una tabla de estado ó tabla de transición. Ella consiste en 3 secciones llamadas: Estado presente, estado siguiente y salida. El estado presente designa los estados de los flip-flops antes de un pulso de reloj. El estado siguiente muestra los estados de los flip-flops después de la ocurrencia de un pulso de reloj y la sección de salida lista los valores de las variables de salida durante el estado presente. La figura 1.2 nos detalla la tabla de estado para el circuito secuencial mostrado en la figura 1.1. Estado presente Estado siguiente Salidas X=0 X=1 X=0 X=1 Q 2 Q 1 Q 2 Q 1 Q 2 Q 1 Z Z Fig. 1.2 Diagrama de estado: La infamación disponible en la tabla de estado, puede representarse gráficamente en un diagrama de estado. En este diagrama se representa cada estado encerrado en un círculo y la transición entre estados se indica por flechas que conectan los círculos. En la figura 1.3 se representa el diagrama de estado de la tabla presentada en la figura

22 Diseño de Circuitos Digitales 22 Fig.1.3 El número binario dentro de cada círculo identifica un estado en particular. Las líneas entre círculos se marcan con dos números separados por una línea oblicua. El valor en la entrada (X) que causa la transición se escribe encima y el valor de la salida (Z) del estado presente se escribe debajo. Por ejemplo, la línea marcada 1/0 que va desde el estado 00 al 11 indica que el circuito está en el estado 00 y con X = 1 pasará al estado 11 con la llegada del pulso de reloj. La salida Z tiene un valor de "0" en el estado 00. Una flecha que salga y entre al mismo círculo significa que con las condiciones de entrada no hay cambio de estado. No hay diferencia entre una tabla de estado y un diagrama de estado. Los dos presentan la misma información, pero el diagrama es una forma práctica y fácil de presentar un problema. Código en Cupl MAQUINA DE ESTADOS FINITA Name maquin; Partno g_01; Date 22/05/05; Revision 01; Designer grupo1; Company udea; Assembly none; Location none; Device GAL22V10; /*ejemplo de maquina de estados*/ /*entradas*/ 22

23 Diseño de Circuitos Digitales 23 pin 1 = clock; pin 2 = x; /*Señal de reloj*/ /*Señal de entrada de la cual depende el up/down*/ /*salidas*/ pin 15 = Q0; pin 16 = Q1; pin 17 = Q2; /*salidas de los estados*/ /* descripcion del hardware*/ d=x; $define statea 'b'000 /*Por medio de la instruccion $define se */ $define stateb 'b'001 /*asigna un valor en binario a cada estado*/ $define statec 'b'010 /*dado que el primer bit de la palabra representa*/ $define stated 'b'011 /*el condicional de up/down y los demas bits son el*/ $define statee 'b'100 /*estado en el cual se encuentra la maquina de estados*/ $define statef 'b'101 $define stateg 'b'110 $define stateh 'b'111 sequence [d, Q1, Q0]/*Instruccion para definir las variables de una maquina de estados*/ { present statea next statec;/*mediante el "present... next..." se declara el estado */ present stateb next stateb;/*inicial y el siguiente de una maquina de estados*/ present statec next stateb;/*dependiendo de las exigencias del problema*/ present stated next stated; present statee next stateh; present statef next statef; present stateg next statef; present stateh next statee; } 3. Diseñemos un contador binario en BDC. En la figura 1.6 se muestra el diagrama de estado de este contador: Fig

24 Diseño de Circuitos Digitales 24 Las flechas entre círculos no se marcan con valores de entrada y salida como en los diagramas de estado normales. Recuérdese que las transiciones de estado ocurren durante un pulso de reloj. Los flip-flops no cambian de estado si no hay un pulso de reloj. Desde este punto de vista, el diagrama de estado de un contador no tiene que mostrar valores de entrada/salida a lo largo de las flechas que conectan los estados. La única entrada al circuito es el pulso de cuenta y las salidas se especifican directamente con los estados presentes de los flip-flops. El siguiente estado del contador depende enteramente de su estado presente. Debido a esta propiedad, un contador se especifica completamente con una lista de la secuencia de la cuenta, es decir, la secuencia de los estados binarios. En la figura 1.7 se representa la tabla de estado correspondiente al diagrama de la figura 1.6. Los estados no utilizados (1010 hasta 1111) se toman como no importa. Obsérvese que el contador del valor 1001 salta al 0000 y no al Por esta razón se llama contador BDC (Binario Decimal Codificador). En la figura 1.8 se representan los 8 mapas y las ecuaciones correspondientes. Estado presente Estado siguiente Entradas de los FF Q 4 Q 3 Q 2 Q 1 Q 4 Q 3 Q 2 Q 1 J 4 K 4 J 3 K 3 J 2 K 2 J 1 K X 0 X 0 X 1 X X 0 X 1 X X X 0 X X 0 1 X X 1 X X 1 X X X 0 0 X 1 X X X 0 1 X X X X 0 X 0 1 X X X 1 X 1 X X 0 0 X 0 X 1 X X 1 0 X 0 X X 1 Fig. 1.7 J 4 = Q 3 Q 2 Q 1 J 2 = Q 4 Q 1 J 3 = Q 2 Q 1 J 1 = 1 24

25 Diseño de Circuitos Digitales 25 K 4 = Q 1 K 2 = Q 1 K 3 = Q 2 Q 1 K 1 = 1 Fig

26 Con las ecuaciones obtenidas anteriormente se dibuja el diagrama lógico del contador BDC. Fig Fig. 1.9 Para la conformación del código en Cupl se realiza de la misma foma que con el ejemplo anterior pero haciendo la correspondencia con los 32 estados diferentes que resultarían incluyendo la selección x. 26

CIRCUITOS COMBINACIONALES CON isplever

CIRCUITOS COMBINACIONALES CON isplever CIRCUITOS COMBINACIONALES CON isplever En el siguiente tutorial se describe el procedimiento para crear un diseño digital usando esquemático con el software isplever. Se explicará como implementar la ecuación

Más detalles

13-Bloques Básicos Secuenciales

13-Bloques Básicos Secuenciales 3-Bloques Básicos Secuenciales 3. Bloques básicos 3.2 Ejemplos de diseños 3: Bloques Básicos Bloques Básicos Secuenciales Bloques básicos secuenciales Contadores Registros de desplazamiento (o corrimiento)

Más detalles

MÓDULO Nº7 REGISTROS Y CONTADORES

MÓDULO Nº7 REGISTROS Y CONTADORES MÓDULO Nº7 REGISTROS Y CONTADORES UNIDAD: LÓGICA SECUENCIAL TEMAS: Registros. Contadores. OBJETIVOS: Explicar que es un registro, su clasificación y sus principales características. Explicar que es un

Más detalles

2. DISPOSITIVOS LÓGICOS PROGRAMABLES SIMPLES

2. DISPOSITIVOS LÓGICOS PROGRAMABLES SIMPLES UNIVERSIDAD TECNOLÓGICA DE LA MIXTECA Instituto de Electrónica y Mecatrónica 2. DISPOSITIVOS LÓGICOS PROGRAMABLES SIMPLES C I R C U I T O S D I G I T A L E S R E C O N F I G U R A B L E S 1 M. C. Felipe

Más detalles

TUTORIAL PARA LA GENERACIÓN DEL ARCHIVO JED A PARTIR DE UN ARCHIVO EQN

TUTORIAL PARA LA GENERACIÓN DEL ARCHIVO JED A PARTIR DE UN ARCHIVO EQN TUTORIAL PARA LA GENERACIÓN DEL ARCHIVO JED A PARTIR DE UN ARCHIVO EQN Este tutorial te muestra el proceso para escribir las ecuaciones en un archivo eqn, y la generación del archivo jed que se necesita

Más detalles

Máquinas de Estados en la GAL22V10 Usando ABEL-HDL

Máquinas de Estados en la GAL22V10 Usando ABEL-HDL Máquinas de Estados en la GAL22V10 Usando ABEL-HDL Una Máquina de estados es un dispositivo digital que atraviesa una predeterminada secuencia de estados. Las máquinas de estados se usan típicamente para

Más detalles

Nota: Para los diseños, anexar los respectivos códigos y simulaciones según el caso.

Nota: Para los diseños, anexar los respectivos códigos y simulaciones según el caso. DISEÑO DE SISTEMAS DIGITALES Tópico Práctico. No. 1 isplever: ABEL HDL, Diseño Lógico Combinatorio. jlozada@ipn.mx Centro de Innovación y Desarrollo Tecnológico en Cómputo Lab. de Diseño de Sistemas Digitales

Más detalles

Introducción a la programación de PLD s

Introducción a la programación de PLD s Introducción a la programación de PLD s Sira E. Palazuelos Cagigas Ernesto Martín Gorostiza Departamento de Electrónica Universidad de Alcalá PALCE22V10 2 1 Proceso de diseño Problema de Diseño Especificación

Más detalles

Circuitos Digitales Avanzados

Circuitos Digitales Avanzados Circuitos Digitales Avanzados M.C. Jorge E. Ibarra Esquer jorgeeie@uabc.mx Contenido Circuitos secuenciales con dispositivos MSI Redes iterativas Circuitos para operaciones aritméticas Dispositivos programables

Más detalles

Lenguaje ABEL-HDL. Departamento de Electrónica. Fundación San Valero

Lenguaje ABEL-HDL. Departamento de Electrónica. Fundación San Valero Lenguaje HDL Lenguaje ABEL-HDL Departamento de Electrónica Fundación San Valero Qué es HDL? HDL es el acrónimo de Hardware Description Language (Lenguaje de Descripción de Hardware). Son lenguajes de programación

Más detalles

Registros y latches multibit. EL-3213 Circuitos Digitales I. Registro de 8 bits (octal register) Otros registros de 8 bits. 74x175

Registros y latches multibit. EL-3213 Circuitos Digitales I. Registro de 8 bits (octal register) Otros registros de 8 bits. 74x175 Registros y latches multibit EL-3213 Circuitos Digitales I 74x175 Registros Contadores Registros de Desplazamiento 1 2 Registro de 8 bits (octal register) 74x374 Salida de 3 estados Otros registros de

Más detalles

EL-3213 Circuitos Digitales I. Registros y latches multibit

EL-3213 Circuitos Digitales I. Registros y latches multibit EL-3213 Circuitos Digitales I Registros Contadores Registros de Desplazamiento 1 Registros y latches multibit 74x175 2 Registro de 8 bits (octal register) 74x374 Salida de 3 estados 3 Otros registros de

Más detalles

CURSO: Electrónica digital UNIDAD III: CIRCUITOS SECUENCIALES - TEORÍA

CURSO: Electrónica digital UNIDAD III: CIRCUITOS SECUENCIALES - TEORÍA www.ceduvirt.com CURSO: Electrónica digital UNIDAD III: CIRCUITOS SECUENCIALES - TEORÍA INTRODUCCIÓN SISTEMA SECUENCIAL Un sistema combinatorio se identifica por: 1. La salida del sistema debe ser estrictamente

Más detalles

Facultad de Ingeniería Eléctrica

Facultad de Ingeniería Eléctrica Facultad de Ingeniería Eléctrica Laboratorio de Electrónica Ing. Luís García Reyes Materia: Laboratorio de Electrónica Digital I Práctica Número 11 Dispositivos programables Objetivo: Aplicación de un

Más detalles

Electrónica Digital II. M. C. Felipe Santiago Espinosa

Electrónica Digital II. M. C. Felipe Santiago Espinosa Electrónica Digital II M. C. Felipe Santiago Espinosa Octubre de 2014 WinCUPL Software desarrollado por Atmel Corporation. CUPL: Compilador universal para lógica programable (genera archivos para programar

Más detalles

Practica No. 1 Circuitos Secuenciales

Practica No. 1 Circuitos Secuenciales Practica No. 1 Circuitos Secuenciales Objetivo: Conocer la estructura y características de la tarjeta de dispositivos lógicos programables TerasIC proporcionada a los alumnos, el software de operación

Más detalles

CURSO: ELECTRÓNICA DIGITAL UNIDAD 3: SISTEMAS SECUENCIALES - TEORÍA PROFESOR: JORGE ANTONIO POLANÍA

CURSO: ELECTRÓNICA DIGITAL UNIDAD 3: SISTEMAS SECUENCIALES - TEORÍA PROFESOR: JORGE ANTONIO POLANÍA CURSO: ELECTRÓNICA DIGITAL UNIDAD 3: SISTEMAS SECUENCIALES - TEORÍA PROFESOR: JORGE ANTONIO POLANÍA Como vimos en la unidad anterior, un sistema combinatorio se identifica porque la salida del sistema

Más detalles

GAL 22V10 Herramientas software

GAL 22V10 Herramientas software GAL22V10 GAL 22V10 Herramientas software Departamento de Electrónica Fundación San Valero Dispositivo GAL 22V10 DESCRIPCIÓN FUNCIONAL DEL DISPOSITIVO 1 Dispositivo GAL 22V10 DESCRIPCIÓN FUNCIONAL DEL MÓDULO

Más detalles

Lenguaje ABEL-HDL. Departamento de Electrónica. Fundación San Valero

Lenguaje ABEL-HDL. Departamento de Electrónica. Fundación San Valero Lenguaje HDL Lenguaje ABEL-HDL Departamento de Electrónica Fundación San Valero Qué es HDL? HDL es el acrónimo de Hardware Description Language (Lenguaje de Descripción de Hardware). Son lenguajes de programación

Más detalles

Universidad Carlos III de Madrid Electrónica Digital Ejercicios

Universidad Carlos III de Madrid Electrónica Digital Ejercicios 1. Dado el circuito secuencial de la figura, dibuje un cronograma indicando las formas de onda que se obtendrían en Q0, Q1, Q2 y Q3. Notación: C: Entrada de reloj, activa por flanco de subida S: Entrada

Más detalles

9-Sistemas Secuenciales

9-Sistemas Secuenciales 9-Sistemas Secuenciales 9. Máquinas de Estados Finitos 9.2 Mealy y Moore 9.3 Implementación en Verilog 9: Secuenciales Abstracción Dividir circuito en lógica combinacional y estado (state) Localizar los

Más detalles

Clase N 3 El Flip-Flop. Flop. Ing. Manuel Rivas DEPARTAMENTO DE ELECTRÓNICA Y CIRCUITOS. Trimestre Enero Marzo 2007

Clase N 3 El Flip-Flop. Flop. Ing. Manuel Rivas DEPARTAMENTO DE ELECTRÓNICA Y CIRCUITOS. Trimestre Enero Marzo 2007 EC275 Ingeniería Electrónica 2 Clase N 3 El Flip-Flop Flop Ing. Manuel Rivas DEPARTAMENTO DE ELECTRÓNICA Y CIRCUITOS Trimestre Enero Marzo 27 Temario general Principio de funcionamiento de los Flip-Flops

Más detalles

Diseño de circuitos secuenciales en OPAL

Diseño de circuitos secuenciales en OPAL Juan C. Herrera L. CIDETEC IPN, 2002 Diseño de circuitos secuenciales en OPAL Capturar un diseño secuencial en OPAL es muy sencillo y puede hacerse a partir del diagrama de estados de la máquina secuencial

Más detalles

Práctica nº 10. Análisis de flip-flops.

Práctica nº 10. Análisis de flip-flops. Grado en Ingeniería de Tecnologías de Telecomunicación. Escuela Técnica Superior de Ingeniería Industrial y de Telecomunicación. Electrónica Digital I. Práctica nº 10. Análisis de flip-flops. En esta práctica

Más detalles

Práctica 4. Sistemas Digitales. Objetivos particulares

Práctica 4. Sistemas Digitales. Objetivos particulares Práctica 4 Sistemas Digitales Objetivos particulares Durante el desarrollo de esta práctica, el estudiante aplicará un método para obtener las diferentes representaciones de los sistemas digitales binarios,

Más detalles

Registros. Registro de Corrimiento Básico

Registros. Registro de Corrimiento Básico Registros. Son dispositivos digitales donde se obtiene almacenamiento temporal. Dado que la memoria y el desplazamiento de información son sus características básicas, los registros son circuitos secuenciales

Más detalles

Organización de Computadoras Apunte 5: Circuitos Lógicos Secuenciales

Organización de Computadoras Apunte 5: Circuitos Lógicos Secuenciales Organización de Computadoras 2003 Apunte 5: Circuitos Lógicos Secuenciales Introducción: En el desarrollo de los sistemas digitales es fundamental el almacenamiento de la información, esta característica

Más detalles

Instituto Tecnológico de Tuxtla Gutiérrez PRACTICAS DE GAL S. Software WinCupl 5.0

Instituto Tecnológico de Tuxtla Gutiérrez PRACTICAS DE GAL S. Software WinCupl 5.0 PRACTICAS DE GAL S Software WinCupl 5.0 10 PRACTICAS DE GAL S (22V10) 1.- Compuerta and de dos entradas. 2.- Una compuerta or y una compuerta and de dos entradas. 3.- Decodificador de binario a decimal.

Más detalles

1. MÓDULOS DE LA MÁQUINA DE SERVICIOS DE LÍQUIDO

1. MÓDULOS DE LA MÁQUINA DE SERVICIOS DE LÍQUIDO CURSO: ELECTRÓNICA DIGITAL UNIDAD 4: CONTROLADORES DIGITALES - TEORÍA PROFESOR: JORGE ANTONIO POLANÍA En esta unidad se aprenderá a diseñar controladores digitales con base en la lógica combinatoria y

Más detalles

Problemario Electrónica Digital

Problemario Electrónica Digital Ejercicios de C.L.S.S ( Moore y Mealy), Registros y Memoria 1. Realice un circuito secuencial síncrono que tenga dos modos de operación: M=0 el circuito contara 0,1,3,2,5,4,7,6,0,1... M=1 el circuito contara

Más detalles

PRÁCTICA 18: SUMADOR SECUENCIAL CON QUARTUS II

PRÁCTICA 18: SUMADOR SECUENCIAL CON QUARTUS II PRÁCTICA 18: SUMADOR SECUENCIAL CON QUARTUS II OBJETIVOS Realizar un circuito digital que sume, de forma secuencial, dos números de 3 bits en binario natural. Al finalizar la práctica, el alumno ha de

Más detalles

Asignaturas antecedentes y subsecuentes

Asignaturas antecedentes y subsecuentes PROGRAMA DE ESTUDIOS DISEÑO DE SISTEMAS DIGITALES I Área a la que pertenece: Área de Formación Transversal Horas teóricas: 3 Horas prácticas: 2 Créditos: 8 Clave: F0142 Asignaturas antecedentes y subsecuentes

Más detalles

Practica No. 1 Circuitos Secuenciales. 1.- Siga los pasos siguientes para configurar un contador de 4 bits usando el ambiente de desarrollo Quartus.

Practica No. 1 Circuitos Secuenciales. 1.- Siga los pasos siguientes para configurar un contador de 4 bits usando el ambiente de desarrollo Quartus. Practica No. 1 Circuitos Secuenciales Objetivo: Conocer la estructura y características de la tarjeta de los dispositivos lógicos programables que se dispone en el laboratorio, tarjeta TerAsic, el software

Más detalles

Cuatro Tipos de Flip-Flop en la GAL22V10

Cuatro Tipos de Flip-Flop en la GAL22V10 Cuatro Tipos de Flip-Flop en la GAL22V10 Un método para eliminar los estados transitorios inestables en el diseño de circuitos digitales secuenciales es el uso de flip-flops disparados por flanco, esto

Más detalles

HOJA DE PROBLEMAS 8: ELEMENTOS DE MEMORIA

HOJA DE PROBLEMAS 8: ELEMENTOS DE MEMORIA f Universidad Rey Juan Carlos Grado en Ingeniería Informática Fundamentos de Computadores HOJA DE PROBLEMAS 8: ELEMENTOS DE MEMORIA 1. Se desea diseñar un circuito que calcule el bit de paridad par sobre

Más detalles

Arquitectura de Computadoras Practica No. 1 Circuitos Secuenciales

Arquitectura de Computadoras Practica No. 1 Circuitos Secuenciales Arquitectura de Computadoras Practica No. 1 Circuitos Secuenciales Objetivo: Conocer la estructura y características de la tarjeta de los dispositivos lógicos programables que se dispone en el laboratorio,

Más detalles

Lección 6. Circuitos Secuenciales. Ing. Luis Diego Murillo L1-Control Eléctrico 1

Lección 6. Circuitos Secuenciales. Ing. Luis Diego Murillo L1-Control Eléctrico 1 Lección 6 Circuitos Secuenciales Ing. Luis Diego Murillo L1-Control Eléctrico 1 Agenda Definiciones de lógica secuencial Modelos de circuitos secuenciales Elementos de memoria Cerrojos y Biestables S-R,

Más detalles

Creación/Simulación de un proyecto con ModelSim

Creación/Simulación de un proyecto con ModelSim Creación/Simulación de un proyecto con ModelSim 1) Ejecutar la aplicación ModelSim. Su ejecutable podrá encontrarlo en la carpeta de aplicación del FPGAdv 5.4 Pro. 2) La pantalla inicial de la herramienta

Más detalles

Asignaturas antecedentes y subsecuentes Diseño de Sistemas Digitales II

Asignaturas antecedentes y subsecuentes Diseño de Sistemas Digitales II PROGRAMA DE ESTUDIOS Diseño de Sistemas Digitales I Área a la que pertenece: Área Sustantiva Profesional Horas teóricas: 3 Horas prácticas: 2 Créditos: 8 Clave: F0157 Asignaturas antecedentes y subsecuentes

Más detalles

LECCIÓN Nº 02 FUNCIONES DE LOGICA COMBINACIONAL (PARTE 1)

LECCIÓN Nº 02 FUNCIONES DE LOGICA COMBINACIONAL (PARTE 1) LECCIÓN Nº 02 FUNCIONES DE LOGICA COMBINACIONAL (PARTE 1) 1. CONVERSORES DE CODIGO La disponibilidad de una gran variedad de códigos para los mismos elementos discretos de información origina el uso de

Más detalles

Esperá que lo anoto, sino me olvido

Esperá que lo anoto, sino me olvido Jorge Aliaga Verano 23 Esperá que lo anoto, sino me olvido Además de hacer operaciones con datos, como se mostró en la práctica 5, para poder hacer cálculos es necesario tener un mecanismo que almacene

Más detalles

CONTADORES CARACTERISTICAS IMPORTANTES UTILIDAD CONTADORES DE RIZADO. CONTADOR DE RIZADO MODULO- 16.

CONTADORES CARACTERISTICAS IMPORTANTES UTILIDAD CONTADORES DE RIZADO. CONTADOR DE RIZADO MODULO- 16. CONTADORES Son circuitos digitales lógicos secuenciales de salida binaria o cuenta binaria, caracteristica de temporizacion y de memoria, por lo cual están constituidos a base de flip-flops. CARACTERISTICAS

Más detalles

Sistemas Secuenciales Modo Reloj

Sistemas Secuenciales Modo Reloj Sistemas Secuenciales Modo Reloj 4. Examen de Marzo de 996 - Problema 4.. Letra del problema Se quiere diseñar un circuito secuencial modo reloj que detecte el sentido de movimiento de una cinta transportadora

Más detalles

Guía de uso básico de Qt

Guía de uso básico de Qt Guía de uso básico de Qt Introducción Un Entorno de Desarrollo Integrado o IDE por sus siglas en inglés (Integrated Development Environment) es un programa que integra un conjunto de herramientas utilizadas

Más detalles

Relación de Problemas de Circuitos Secuenciales

Relación de Problemas de Circuitos Secuenciales Escuela Técnica de Ingenieros en Informática de Sistemas Sistemas Electrónicos Digitales Relación de Problemas de Circuitos Secuenciales 1.- Dado el circuito secuencial síncrono de la figura: a.- Trace

Más detalles

Sistemas Digitales. Ejercicios Tema 4. Circuitos Lógicos Secuenciales

Sistemas Digitales. Ejercicios Tema 4. Circuitos Lógicos Secuenciales Sistemas Digitales Ejercicios Tema 4. Circuitos Lógicos Secuenciales Ejercicio 1.- Los latches SR (elementos de memoria más simples) se completaban con un circuito lógico como el mostrado en la figura

Más detalles

Laboratorio 02. Ambiente de Mediciones Digitales

Laboratorio 02. Ambiente de Mediciones Digitales Laboratorio 02. Ambiente de Mediciones Digitales Objetivos : - Conocer y utilizar con propiedad osciloscopio de señal mixta. - Manejar con propiedad los conceptos de sincronización, disparo, nivel de disparo,

Más detalles

Unidad 3: Circuitos digitales.

Unidad 3: Circuitos digitales. A-1 Appendix A - Digital Logic Unidad 3: Circuitos digitales. Diapositivas traducidas del libro Principles of Computer Architecture Miles Murdocca and Vincent Heuring Appendix A: Digital Logic A-2 Appendix

Más detalles

Circuitos Lógicos Combinatorios. Ing. Jorge Manrique 2004 Sistemas Digitales 1

Circuitos Lógicos Combinatorios. Ing. Jorge Manrique 2004 Sistemas Digitales 1 Circuitos Lógicos Combinatorios Ing. Jorge Manrique 2004 Sistemas Digitales 1 Circuitos Combinatorios Un circuito combinatorio es un arreglo de compuertas lógicas con un conjunto de entradas y salidas.

Más detalles

Organización n del Computador 1. Lógica Digital 2 Circuitos y memorias

Organización n del Computador 1. Lógica Digital 2 Circuitos y memorias Organización n del Computador Lógica Digital 2 Circuitos y memorias Circuitos Secuenciales Circuitos combinatorios Funciones ooleanas El resultado depende sólo s de las entradas También n necesitamos circuitos

Más detalles

Figura 1.1 Diagrama en bloque de un Circuito Lógico Secuencial

Figura 1.1 Diagrama en bloque de un Circuito Lógico Secuencial CAPITULO Circuitos Lógicos Secuenciales síncronos. Introducción Los circuitos lógicos secuenciales síncronos son aquellos circuitos donde los valores lógicos de salida dependen de las combinaciones de

Más detalles

TEMA 7. Registros y contadores

TEMA 7. Registros y contadores TEMA 7. Registros y contadores 1. Registros 1.1. Definición. Sistemas secuenciales síncronos formados por agrupaciones de biestables. Capacidad de almacenamiento simultaneo de varios bits. Capacidad de

Más detalles

Quartus II. Dr. Andrés David García García. Departamento de Mecatrónica. TE.1010 Sistemas Digitales

Quartus II. Dr. Andrés David García García. Departamento de Mecatrónica. TE.1010 Sistemas Digitales Quartus II Dr. Andrés David García García Departamento de Mecatrónica TE.1010 Sistemas Digitales Quartus II Ambiente gráfico: Circuitos Básicos Construcción de un HA Construcción de un FA Construcción

Más detalles

Registros de desplazamiento

Registros de desplazamiento Registros de desplazamiento Definición de registro de desplazamiento básico Tipos de registro de desplazamiento Configuraciones específicas Aplicaciones más típicas VHDL Ejercicio propuestos Definición

Más detalles

ELO211: Sistemas Digitales. Tomás Arredondo Vidal 1er Semestre 2009

ELO211: Sistemas Digitales. Tomás Arredondo Vidal 1er Semestre 2009 ELO211: Sistemas Digitales Tomás Arredondo Vidal 1er Semestre 29 Este material está basado en: textos y material de apoyo: Contemporary Logic Design 1 st / 2 nd edition. Gaetano Borriello and Randy Katz.

Más detalles

TUTORIAL II parte A. Observemos el diagrama de pines del microcontrolador 16F877A:

TUTORIAL II parte A. Observemos el diagrama de pines del microcontrolador 16F877A: 1 TUTORIAL II parte A OBJETIVOS Conocer el manejo de puertos del microcontrolador 16F877A, registros TRIS y PORT. Familiarizarse con las principales instrucciones del lenguaje y la estructura de un programa

Más detalles

CREAR PROYECTO EN ISE v9.2 DE XILINX

CREAR PROYECTO EN ISE v9.2 DE XILINX EL ISE DE XILINX CREAR PROYECTO EN ISE v9.2 DE XILINX El programa ISE (Integrated Software Environment) de XILINX es una herramienta que mediante la utilización de lenguaje de programación como el VHDL

Más detalles

Para su estudio la máquina se dividirá en los siguientes módulos:

Para su estudio la máquina se dividirá en los siguientes módulos: CURSO: ELECTRÓNICA DIGITAL CONTROLADORES DIGITALES PROFESOR: ING. JORGE ANTONIO POLANÍA Los controladores digitales son circuitos que utilizando flip flops, registros o contadores pueden realizar un control

Más detalles

DISEÑO F.S.M DIGITAL2 YESID SANTAFE

DISEÑO F.S.M DIGITAL2 YESID SANTAFE DISEÑO F.S.M DIGITAL2 YESID SANTAFE los circuitos secuenciales recuerdan lo sucedido en instantes de tiempo anteriores y son capaces de alterar su comportamiento futuro en base a esta información De forma

Más detalles

TEMA 1 FUNDAMENTOS DEL DISEÑO DEL HARDWARE DIGITAL

TEMA 1 FUNDAMENTOS DEL DISEÑO DEL HARDWARE DIGITAL TEMA 1 FUNDAMENTOS DEL DISEÑO DEL HARDWARE DIGITAL 1.1. Introducción 1.2. Lenguajes para la descripción de hardware 1.3. Ciclo de diseño de los circuitos digitales 1.4. Tecnologías de circuitos integrados

Más detalles

Edición, Simulación y Síntesis con isplever

Edición, Simulación y Síntesis con isplever Edición, Simulación y Síntesis con isplever Tras haber instalado isplever Classic se ejecuta para comenzar a usarlo: Página 1 En esta ventana del navegador de isplever Classic se comienza creando un nuevo

Más detalles

Práctica 3 Captura Esquemática

Práctica 3 Captura Esquemática Práctica 3 Captura Esquemática Objetivos particulares Durante el desarrollo de esta práctica el alumno implementara físicamente los operadores lógicos And, Or, Nand, Nor y Exor de tres entradas en un solo

Más detalles

GIA DE LABORATORIO DISEÑO AVANZADO DE HARDWARE

GIA DE LABORATORIO DISEÑO AVANZADO DE HARDWARE LABORATORIO1 INTRODUCCION AL USO DE LA HERRAMIENTA DE SINTESIS Y SIMULACION ISE_9.2 INTRODUCCION El curso de Diseño avanzado de Hardware, presenta al estudiante diferentes técnicas y herramientas que le

Más detalles

TECNICO SUPERIOR UNIVERSITARIO EN TECNOLOGIAS DE LA INFORMACION Y COMUNICACIÓN ÁREA REDES Y TELECOMUNICACIONES.

TECNICO SUPERIOR UNIVERSITARIO EN TECNOLOGIAS DE LA INFORMACION Y COMUNICACIÓN ÁREA REDES Y TELECOMUNICACIONES. TECNICO SUPERIOR UNIVERSITARIO EN TECNOLOGIAS DE LA INFORMACION Y REDES Y TELECOMUNICACIONES. HOJA DE ASIGNATURA CON DESGLOSE DE UNIDADES TEMÁTICAS 1. Nombre de la asignatura Sistemas digitales. 2. Competencias

Más detalles

Guía Respaldos. Para comenzar a realizar nuestro respaldo, damos doble clic en el icono Respaldos que se encuentra en el escritorio.

Guía Respaldos. Para comenzar a realizar nuestro respaldo, damos doble clic en el icono Respaldos que se encuentra en el escritorio. Guía Respaldos Estimado usuario, es grato para nosotros informar que hay cambios importantes en el funcionamiento de los sistemas de la línea Control2000, uno de los cambios es la generación y recuperación

Más detalles

CIRCUITOS ELECTRÓNICOS DIGITALES ESCUELA POLITÉCNICA SUPERIOR UNIVERSIDAD AUTÓNOMA DE MADRID

CIRCUITOS ELECTRÓNICOS DIGITALES ESCUELA POLITÉCNICA SUPERIOR UNIVERSIDAD AUTÓNOMA DE MADRID CIRCUITOS ELECTRÓNICOS DIGITALES ESCUELA POLITÉCNICA SUPERIOR UNIVERSIDAD AUTÓNOMA DE MADRID Guía de problemas: Aritmética de Computadores Parte 1: Operaciones básicas con lápiz y papel Problema 1: a)

Más detalles

MultiSIM 9 Simulación y Captura. Guía rápida

MultiSIM 9 Simulación y Captura. Guía rápida MultiSIM 9 Simulación y Captura Guía rápida Guía de usuario rápida con MultiSim 9 Este pequeño libro le permitirá hacer un recorrido por las funciones de captura de esquemáticos, la simulación y el análisis

Más detalles

Figura P1. 2. Para el circuito que se muestra en la Figura P2, complete el diagrama de tiempo. Figura P2

Figura P1. 2. Para el circuito que se muestra en la Figura P2, complete el diagrama de tiempo. Figura P2 Universidad Industrial de Santander Sistemas Digitales - Taller No 2 (Secuenciales y FSM) Profesor: Carlos A. Fajardo 1. Para un flip flop JK, como el de la Figura P1, cuyas entradas son las de la figura

Más detalles

CONTADORES Y SECUENCIADORES

CONTADORES Y SECUENCIADORES Todos los derechos de propiedad intelectual de esta obra pertenecen en exclusiva a la Universidad Europea de Madrid, S.L.U. Queda terminantemente prohibida la reproducción, puesta a disposición del público

Más detalles

Lattice isplever. Características. Gestión de proyectos

Lattice isplever. Características. Gestión de proyectos Lattice isplever Características Conjunto de herramientas para el diseño con CPLD y FPGA Versión isplever starter gratuita (licencia 6 meses) Entorno de desarrollo integrado -> incluye Gestión de proyectos

Más detalles

[GUÍA RÁPIDA DE MPLAB]

[GUÍA RÁPIDA DE MPLAB] μc ÁREA TECNOLOGÍA ELECTRÓNICA DPTO. INGENIERÍA ELECTROMECÁNICA MIGUEL ÁNGEL LOZANO PÉREZ y PEDRO SÁNCHEZ ORTEGA ASIGNATURA: SISTEMAS BASADOS EN MICROPROCESADOR [GUÍA RÁPIDA DE MPLAB] El contenido de esta

Más detalles

Guía rápida para animación condicional de objetos en FS2004

Guía rápida para animación condicional de objetos en FS2004 Guía rápida para animación condicional de objetos en FS2004 Notas antes de comenzar: Este proceso requiere tener instalados los siguientes SDKs de FS2004: Gmax SDK, MakeMDL SDK, BGLComp SDK. Dirigirse

Más detalles

TEMA 8. REGISTROS Y CONTADORES.

TEMA 8. REGISTROS Y CONTADORES. TEMA 8. REGISTROS Y CONTADORES. TECNOLOGÍA DE COMPUTADORES. CURSO 2007/08 8.1. Registros. Tipos de registros. Registros de desplazamiento. Los registros son circuitos secuenciales capaces de almacenar

Más detalles

Arquitecaura de Computadoras Tema 1 - Introducción a la Arquitectura de Computadoras

Arquitecaura de Computadoras Tema 1 - Introducción a la Arquitectura de Computadoras 1121025 Arquitecaura de Computadoras - Introducción a la Arquitectura de Computadoras Eduardo Rodríguez Martínez Departamento de Electrónica División de Ciencias Básicas e Ingeniería Universidad Autónoma

Más detalles

Arquitecturas de Computadores. 4 Sistemas Combinacionales y Secuenciales Prof. Javier Cañas R.

Arquitecturas de Computadores. 4 Sistemas Combinacionales y Secuenciales Prof. Javier Cañas R. Arquitecturas de Computadores 4 Sistemas Combinacionales y Secuenciales Prof. Javier Cañas R. Temario 1. Introducción 2. Sistemas Combinacionales (SC) 3. Implantación de SC mediante PLA 4. Sistemas Secuenciales

Más detalles

ELO211: Sistemas Digitales. Tomás Arredondo Vidal 1er Semestre 2008

ELO211: Sistemas Digitales. Tomás Arredondo Vidal 1er Semestre 2008 ELO211: Sistemas Digitales Tomás Arredondo Vidal 1er Semestre 2008 Este material está basado en: textos y material de apoyo: Contemporary Logic Design 1 st / 2 nd Borriello and Randy Katz. Prentice Hall,

Más detalles

Universidad Nacional de Catamarca Facultad de Ciencias Exactas y Naturales

Universidad Nacional de Catamarca Facultad de Ciencias Exactas y Naturales Universidad Nacional de Catamarca Facultad de Ciencias Exactas y Naturales CICLO PROFESORADO EN COMPUTACIÓN PRIMER AÑO ASIGNATURA ARTICULACIÓN CURRICULAR II http://www.actiweb.es/artcur2unca/ Ing. Georgina

Más detalles

Lógica Digital. Circuitos Secuenciales. Francisco García Eijó. Organización del Computador I Departamento de Computación - FCEyN UBA

Lógica Digital. Circuitos Secuenciales. Francisco García Eijó. Organización del Computador I Departamento de Computación - FCEyN UBA Lógica Digital Circuitos Secuenciales Francisco García Eijó Organización del Computador I Departamento de Computación - FCEyN UBA 5 de Abril del 2016 Agenda 1 Repaso 2 Introducción 3 Flip-Flops 4 Ejercicios

Más detalles

EIE SISTEMAS DIGITALES Tema 10: Registros de desplazamiento. Nombre del curso: Sistemas Digitales Nombre del docente: Héctor Vargas

EIE SISTEMAS DIGITALES Tema 10: Registros de desplazamiento. Nombre del curso: Sistemas Digitales Nombre del docente: Héctor Vargas EIE 446 - SISTEMAS DIGITALES Tema 10: Registros de desplazamiento Nombre del curso: Sistemas Digitales Nombre del docente: Héctor Vargas OBJETIVOS DE LA UNIDAD Identificar las formas básicas de movimiento

Más detalles

BOLETIN 7: Subsistemas secuenciales

BOLETIN 7: Subsistemas secuenciales BOLETIN 7: Subsistemas secuenciales Problemas básicos P. Realice el diagrama de estados de un C.S.S. que funcione como un contador módulo 4 ascendente/descendente en función de una entrada de control.

Más detalles

Implementación de una unidad procesadora de cuatro bits, como material didáctico

Implementación de una unidad procesadora de cuatro bits, como material didáctico Implementación de una unidad procesadora de cuatro bits, como material didáctico Ángel Eduardo Gasca Herrera Universidad Veracruzana Agasca3@hotmail.com Jacinto Enrique Pretelin Canela Universidad Veracruzana

Más detalles

Arquitectura de Computadoras

Arquitectura de Computadoras Arquitectura de Computadoras (Cód. 5561) 1 Cuatrimestre 2016 Dra. Dana K. Urribarri DCIC - UNS Circuitos Secuenciales Dana K. Urribarri AC 2016 2 Circuitos secuenciales La respuesta de un circuito combinacional

Más detalles

Sistemas Digitales I Taller No 5: Diseño en VHDL de Circuitos Secuenciales

Sistemas Digitales I Taller No 5: Diseño en VHDL de Circuitos Secuenciales UNIVERSIDAD INDUSTRIAL DE SANTANDER Escuela de Ingenierías Eléctrica, Electrónica y Telecomunicaciones Sistemas Digitales I Taller No 5: Diseño en VHDL de Circuitos Secuenciales Profesor: Carlos A. Fajardo

Más detalles

LABORATORIO DE SISTEMAS DIGITALES. PRÁCTICA No. 8. Fecha: 03/07/ /07/2017

LABORATORIO DE SISTEMAS DIGITALES. PRÁCTICA No. 8. Fecha: 03/07/ /07/2017 LABORATORIO DE SISTEMAS DIGITALES PRÁCTICA No. 8 Fecha: 03/07/2017-07/07/2017 Escuela Politécnica nacional Tema: FLIP-FLOPS 1. Objetivos: Familiarizar al estudiante con la utilización y funcionamiento

Más detalles

INDICE. XVII 0 Introducción 0.1. Historia de la computación

INDICE. XVII 0 Introducción 0.1. Historia de la computación INDICE Prefacio XVII 0 Introducción 0.1. Historia de la computación 1 0.1.1. Los inicios: computadoras mecánicas 0.1.2. Primeras computadoras electrónicas 0.1.3. Las primeras cuatro generaciones de computadoras

Más detalles

kit mínimo de FPGA/ALTERA Cyclone ll. EP2C5T144C8, USB BLASTER y DC 5V

kit mínimo de FPGA/ALTERA Cyclone ll. EP2C5T144C8, USB BLASTER y DC 5V Practica 0. QUARTUS ll FCE 2015-02-05 Objetivo: El alumno conozca y utilice el software de ALTERA, quartus ll 1. Escribir un programa en vhdl, con ejemplo de compuerta NOT. 2. compilar y verificar sintaxis,

Más detalles

Circuitos Lógicos Secuenciales. Figura 36. Circuito lógico secuencial. Actividad de apertura. Circuitos lógicos secuenciales.

Circuitos Lógicos Secuenciales. Figura 36. Circuito lógico secuencial. Actividad de apertura. Circuitos lógicos secuenciales. Circuitos Lógicos Secuenciales UNIDAD 3 Como recordaras en la unidad pasada vimos los circuitos combinacionales, en estos las salidas solo dependen del valor de las entradas. A diferencia de los circuitos

Más detalles

Universidad Central de Venezuela Facultad de Ciencias Escuela de Computación Organización y Estructura del Computador II Semestre I-2014.

Universidad Central de Venezuela Facultad de Ciencias Escuela de Computación Organización y Estructura del Computador II Semestre I-2014. Universidad Central de Venezuela Facultad de Ciencias Escuela de Computación Organización y Estructura del Computador II Semestre I-2014 Práctica #3 1) Qué es un latch? Qué es un flip-flop? 2) Si se aplican

Más detalles

Laboratorio 2: Mediciones Digitales

Laboratorio 2: Mediciones Digitales Objetivos: Laboratorio 2: Mediciones Digitales Aprender el uso de un osciloscopio de señal mixta. Conocer y comprender los conceptos de prueba estática y prueba dinámica Medir tiempos de retardo en compuertas

Más detalles

4.5. Procedimiento de síntesis

4.5. Procedimiento de síntesis 4.5. Procedimiento de síntesis En este apartado se resumen los pasos a seguir para completar la implementación de un sistema digital en un dispositivo programable: descripción del sistema mediante uno

Más detalles

TEMA 10. CIRCUITOS SECUENCIALES

TEMA 10. CIRCUITOS SECUENCIALES TEMA 10. CIRCUITOS SECUENCIALES http://www.tech-faq.com/wp-content/uploads/images/integrated-circuit-layout.jpg IEEE 125 Aniversary: http://www.flickr.com/photos/ieee125/with/2809342254/ 1 TEMA 10. CIRCUITOS

Más detalles

Diseño de Sistemas Secuenciales Síncronos. Registros y contadores. Tema 9

Diseño de Sistemas Secuenciales Síncronos. Registros y contadores. Tema 9 Diseño de Sistemas Secuenciales Síncronos. Registros y contadores Tema 9 Al final de este tema sabrás... Diferenciar perfectamente entre sistema combinacional y secuencial Modelar sistemas secuenciales

Más detalles

DISEÑO DE CIRCUITOS SECUENCIALES

DISEÑO DE CIRCUITOS SECUENCIALES DISEÑO DE CIRCUITOS SECUENCILES Circuitos Digitales EC1723 Diseño de circuitos secuenciales (1) partir del enunciado del problema, construir el diagrama de estados y/o la tabla de estados y salidas. Determinar

Más detalles

Modelos de Circuitos FCHE 2011

Modelos de Circuitos FCHE 2011 Modelos de Circuitos Secuenciales: Mealy y Moore FCHE 20 Modelos/Maquinas/Autómatas Mealy: las salidas están en función de dos, el estado presente y las entrada. Moore: Las salidas están en función del

Más detalles

Ejercicio 1 - La primera imagen

Ejercicio 1 - La primera imagen Ejercicio 1 - La primera imagen Gimp nos permite trabajar con imágenes obtenidas con una cámara digital, con un escáner o crear imágenes digitales directamente. Para crear nuestra primera imagen accedemos

Más detalles

Introducción: La tarea que se debe llevar a cabo consiste en crear dos macros y guardarlas en una

Introducción: La tarea que se debe llevar a cabo consiste en crear dos macros y guardarlas en una Objetivo En este trabajo práctico se aprenderá a crear una plantilla que contenga macros. Las macros creadas permitirán crear una firma denominada: InsertarFirma y y otra macro denominada: BorrarFirma,

Más detalles

TEMA 6 INTRODUCCIÓN AL DISEÑO SECUENCIAL: CONTADORES Y REGISTROS

TEMA 6 INTRODUCCIÓN AL DISEÑO SECUENCIAL: CONTADORES Y REGISTROS TEMA 6 INTRODUCCIÓN AL DISEÑO SECUENCIAL: CONTADORES Y REGISTROS TEMA 6: INTRODUCCIÓN AL DISEÑO SECUENCIAL: CONTADORES Y REGISTROS Contexto Conocimiento Previo Necesario Objetivos del Tema Guía de Estudio

Más detalles