LAB 5. Tarjeta de Desarrollo Spartan-3. Laboratorio de Sistemas Digitales ELO212 Primer Semestre de 2012

Tamaño: px
Comenzar la demostración a partir de la página:

Download "LAB 5. Tarjeta de Desarrollo Spartan-3. Laboratorio de Sistemas Digitales ELO212 Primer Semestre de 2012"

Transcripción

1 LAB 5 Tarjeta de Desarrollo Spartan-3 Laboratorio de Sistemas Digitales ELO212 Primer Semestre de 2012

2 Objetivos Generales Usar la interfaz serial sincrónica PS/2 como dispositivo de entrada de datos. Diseñar un multiplexor con división de tiempo para manejo de display de 7 segmentos. Desarrollar métodos de prueba para módulos individuales y el circuito completo.

3 Interfaz PS/2 Permite conectar periféricos teclado y mouse. Protocolo de comunicación serial bidireccional. Para teclados se garantiza el conjunto 2 de los scan codes. Responden a todos los comandos enviados, sin embargo no actúan en todos ellos.

4 Socket y Pinout Pin 1: Data Pin 2: Reservado Pin 3: Ground Pin 4: Vcc (+5V) Pin 5: Clock Pin 6: Reservado

5 Comunicación Bidireccional Estado IDLE: Data y Clock en alto Estado INHIBIT: Data en alto y Clock en bajo Estado REQUEST TO SEND: Data en bajo y Clock en alto

6 PS/2 Frame (1) 1 bit de partida: siempre es 0. 8 bits de datos: LSB a MSB. 1 bit de paridad (impar) 1 bit de parada: siempre es 1. 1 bit de ack: sólo para comunicación host teclado/mouse.

7 PS/2 Frame (2)

8 Scan Code (1) Hay varios tipos de scan codes, el más popular (por omisión) es el número 2. Cada tecla tiene asociado un scan code compuesto por: make code, que se emite al presionar una tecla breake code, que se emite al soltar la tecla

9 Scan Code (2) Key Make Break ASCII A 1C F0,1C 41 B 32 F0,32 42 C 21 F0,21 43 D 23 F0,23 44 E 24 F0,24 45 F 2B F0,2B 46 G 34 F0,34 47 H 33 F0,33 48 I 43 F0,43 49

10 PS/2 Driver Verilog (1) kd ShiftRegSig1 ShiftRegSig2 kc kbs value 0 xxxxxxxx

11 PS/2 Driver Verilog (1) kd ShiftRegSig1 ShiftRegSig2 kc 0 x x x x x x x x x x x x x x x x x x x x kbs value 0 xxxxxxxx

12 PS/2 Driver Verilog (1) kd ShiftRegSig1 ShiftRegSig2 kc 0 0 x x x x x x x x x x x x x x x x x x x kbs value 0 xxxxxxxx

13 PS/2 Driver Verilog (1) kd ShiftRegSig1 ShiftRegSig2 kc x x x x x x x x x x x x x x x x x x kbs value 0 xxxxxxxx

14 PS/2 Driver Verilog (1) kd ShiftRegSig1 ShiftRegSig2 kc x x x x x x x x x x x x x x x x x kbs value 0 xxxxxxxx

15 PS/2 Driver Verilog (1) kd ShiftRegSig1 ShiftRegSig2 kc x x x x x x x x x x x x x x x x kbs value 0 xxxxxxxx

16 PS/2 Driver Verilog (1) kd ShiftRegSig1 ShiftRegSig2 kc x x x x x x x x x x x x x x x kbs value 0 xxxxxxxx

17 PS/2 Driver Verilog (1) kd ShiftRegSig1 ShiftRegSig2 kc x x x x x x x x x x x x x x kbs value 0 xxxxxxxx

18 PS/2 Driver Verilog (1) kd ShiftRegSig1 ShiftRegSig2 kc x x x x x x x x x x x x x kbs value 0 xxxxxxxx

19 PS/2 Driver Verilog (1) kd ShiftRegSig1 ShiftRegSig2 kc x x x x x x x x x x x x kbs value 0 xxxxxxxx

20 PS/2 Driver Verilog (1) kd ShiftRegSig1 ShiftRegSig2 kc x x x x x x x x x x x kbs value 0 xxxxxxxx

21 PS/2 Driver Verilog (1) kd ShiftRegSig1 ShiftRegSig2 kc x x x x x x x x x x kbs value 0 xxxxxxxx

22 PS/2 Driver Verilog (1) kd ShiftRegSig1 ShiftRegSig2 kc x x x x x x x x x kbs value 0 xxxxxxxx

23 PS/2 Driver Verilog (1) kd ShiftRegSig1 ShiftRegSig2 kc x x x x x x x x kbs value 0 xxxxxxxx

24 PS/2 Driver Verilog (1) kd ShiftRegSig1 ShiftRegSig2 kc x x x x x x x kbs value 0 xxxxxxxx

25 PS/2 Driver Verilog (1) kd ShiftRegSig1 ShiftRegSig2 kc x x x x x x kbs value 0 xxxxxxxx

26 PS/2 Driver Verilog (1) kd ShiftRegSig1 ShiftRegSig2 kc x x x x x kbs value 0 xxxxxxxx

27 PS/2 Driver Verilog (1) kd ShiftRegSig1 ShiftRegSig2 kc x x x x kbs value 0 xxxxxxxx

28 PS/2 Driver Verilog (1) kd ShiftRegSig1 ShiftRegSig2 kc x x x kbs value 0 xxxxxxxx

29 PS/2 Driver Verilog (1) kd ShiftRegSig1 ShiftRegSig2 kc x x kbs value 0 xxxxxxxx

30 PS/2 Driver Verilog (1) kd ShiftRegSig1 ShiftRegSig2 kc x kbs value 0 xxxxxxxx

31 PS/2 Driver Verilog (1) kd ShiftRegSig1 ShiftRegSig2 kc kbs value 1 xxxxxxxx

32 PS/2 Driver Verilog (1) kd ShiftRegSig1 ShiftRegSig2 kc kbs value 0 1C

33 PS/2 Driver Verilog (2a) module kbd(rst, clk, kd, kc, kbs, value); input rst, clk, kd, kc; // reset, clock, k-data, k-clock output reg kbs; // keyboard stroke (negedge) output reg [7:0] value; // scan code // // -- Signal Declarations // reg kdi, kci; reg dff1, dff2; reg [10:0] ShiftRegSig1; reg [10:1] ShiftRegSig2; reg kbs_tmp;

34 PS/2 Driver Verilog (2b) // // -- Module Implementation // // --Flip Flops used to condition signals coming from PS2-- (posedge clk or posedge rst) begin if (rst == 1) begin dff1 <= 0; dff2 <= 0; kdi <= 0; kci <= 0; end else begin dff1 <= kd; kdi <= dff1; dff2 <= kc; kci <= dff2; end end

35 PS/2 Driver Verilog (2c) // --Shift Registers used to clock in scan codes from PS2-- kci or posedge rst) begin if (rst == 1) begin ShiftRegSig1 <= 11'b ; ShiftRegSig2 <= 10'b ; end else begin ShiftRegSig1[10:0] <= {kdi, ShiftRegSig1[10:1]}; ShiftRegSig2[10:1] <= {ShiftRegSig1[0], ShiftRegSig2[10:2]}; end end

36 PS/2 Driver Verilog (2d) // --Wait for scan code rst or posedge kci) begin if (rst == 1) begin value <= 8'b ; kbs_tmp <= 0; end else if (ShiftRegSig2[9:2] == 8'b ) begin value <= value; kbs_tmp <= 1; end else if (ShiftRegSig2[8:1] == 8'b ) begin value <= ShiftRegSig1[8:1]; kbs_tmp <= 0; end end clk) kbs <= kbs_tmp; endmodule

37 Ejemplo Uso Driver PS/2 module kbduse(rst, clk, kd, kc, led); input rst, clk, kd, kc; output reg [7:0] led; wire [7:0] value; kbd kbd0(rst, clk, kd, kc, kbs, value); (negedge rst or negedge kbs) if (rst == 0) led <= 0; else led <= led + 1; endmodule

38 Display 7 segmentos 4 dígitos (7 segmentos). No dispone de conversores BCD / 7 segmentos. Ánodo común. 12 salidas para controlar el display. Se debe multiplexar en el tiempo para poder ver números de más de 1 dígito.

39 Configuración del Display

40 Conexiones

41 Driver Verilog BCD/7Seg. module ssdec(val, pt, type, ssg); input [3:0] val; // binary value input pt, type; // point, display type (0: anode, 1: cathode) output [7:0] ssg; // segments assign ssg = ((type == 1)? 8'h0 : 8'hff) ^ ( (val == 0)? {pt, 7'b } : (val == 1)? {pt, 7'b } : (val == 2)? {pt, 7'b } : (val == 3)? {pt, 7'b } : // (val == 11)? {pt, 7'b } : (val == 12)? {pt, 7'b } : (val == 13)? {pt, 7'b } : (val == 14)? {pt, 7'b } : {pt, 7'b }); endmodule

42 Driver Verilog Display 7Seg. (1) module display(clk, num, ssg, dctl); input clk; input [15:0] num; output [7:0] ssg; output reg [3:0] dctl; // fill in. endmodule

43 Contador BCD module bcdcounter(rst, clk, value); input rst, clk; output reg [15:0] value; // reset, clock // 4 bcd digits clk or posedge rst) begin if (rst == 1) value <= 0; else begin if (value[3:0] == 9) begin value[3:0] <= 0; if (value[7:4] == 9) begin value[7:4] <= 0; if (value[11:8] == 9) begin value[11:8] <= 0; if (value[15:12] == 9) value[15:12] <= 0; else value[15:12] <= value[15:12] + 1; end else value[11:8] <= value[11:8] + 1; end else value[7:4] <= value[7:4] + 1; end else value <= value + 1; end end endmodule

44 Pulsadores, Interruptores y leds module (btn, swt, led); input [0:3] btn; input [0:7] swt; output [0:7] led; assign led[0:3] = btn; assign led[4:7] = swt[0:3]; endmodule

45 Trabajo Previo (1) Diseño de un módulo para visualizar una cuenta en el display Completar diseño del módulo display. Usar display para mostrar tiempo MM.SS. Hacer simulación funcional y temporal. Ayuda: Use un contador bcd para segundos y otro contador bcd para minutos Señal de reset para segundos : cuentaseg == h60 Señal de reloj para minutos: cuentaseg == h60

46 Trabajo Previo (2) Módulo para uso de leds, interruptores y pulsadores. Leds reflejan el estado del interruptor. Pulsador 0: enciende todos los leds. Pulsador 1: apaga todos los leds. Pulsador 2: invierte estado de los leds. Pulsador 3: rotación hacia la derecha según cantidad de interruptores activos, ej. swt = 0x15 0xA2 Ayuda: construya un multiplexor, de 5 entradas (de 8 bit) a una salida (de 8 bit) para una rotación de X bit hacia la derecha de un bus Y, puede usar: {Y,Y} >> X

47 Trabajo Previo (2) Diseño de un módulo que permita: Visualizar scan codes de teclado en display 7 segmentos, dígitos menos significativos. Visualizar la interpretación de la tecla en los dígitos más significativos. Ejemplo tecla 1muestra 0116 en el display. Ayuda: reg [7:0] k; kbd kbd0(rst, clk, kd, kc, kbs, value); display dsp0(clk1khz, {k, value}, ssg, dctl); (negedge kbs) case(value) h16: k <= h01;.

48 En el Laboratorio Revisión actividades previas. Sintetizar y demostrar el funcionamiento del módulo reloj en formato MM.SS. Sintetizar el módulo para uso de pulsadores, interruptores y leds. Demostrar el funcionamiento del módulo que despliega scan codes del teclado PS/2. Diseño de calculadora básica de notación postfija Uso de pulsadores, interruptores y leds

49 Calculadora Básica (1) Ingreso de Números (Si tecla es hexadecimal) 0,1,2. a,.., f aux: Número bcd op1: Número bcd kbs reg [15:0] op1, op2, aux; always@(posedge rst or negedge kbs) begin if (rst == 1) begin... end else begin case (value)... 8'h2e: if (clr) aux <= 0; // C ó CE else aux <= {aux[11:0], 4'h5};... kbs && tecla es enter op2: Número bcdº kbs && tecla es enter

50 Calculadora Básica (2) Operaciones (tecla (op) es: +, -, *) Op1 op op2 aux: Número bcd kbs && tecla es +, -, * op1: Número bcd kbs && tecla es +, -, *

LAB 5. Tarjeta de Desarrollo Spartan-3. Laboratorio de Sistemas Digitales ELO212 Primer Semestre de 2010

LAB 5. Tarjeta de Desarrollo Spartan-3. Laboratorio de Sistemas Digitales ELO212 Primer Semestre de 2010 LAB 5 Tarjeta de Desarrollo Spartan-3 Laboratorio de Sistemas Digitales ELO212 Primer Semestre de 2010 Objetivos Generales Controlar dispositivos mediante una FPGA. Conocer la interfaz PS/2 para conexión

Más detalles

Laboratorio 5: Tarjeta de Desarrollo Spartan-3

Laboratorio 5: Tarjeta de Desarrollo Spartan-3 Laboratorio 5: Tarjeta de Desarrollo Spartan-3 Objetivos: Aprender el uso de dispositivos controlados por una FPGA en una tarjeta de desarrollo. Conocer la interfaz PS/2, el protocolo de comunicación y

Más detalles

Laboratorio 06. Diseño e implementación de un sistema digital para la captura de caracteres desde teclado

Laboratorio 06. Diseño e implementación de un sistema digital para la captura de caracteres desde teclado Laboratorio 06. Diseño e implementación de un sistema digital para la captura de caracteres desde teclado Objetivos Específicos: - Aplicar sentencias específicas del lenguaje ABEL para describir registros

Más detalles

Laboratorio 4: Uso de una FPGA

Laboratorio 4: Uso de una FPGA Laboratorio 4: Uso de una FPGA Objetivos: Conocer y comprender la estructura interna de una FPGA y su tarjeta de desarrollo que será usada en el laboratorio, y los cuidados y recomendaciones para evitar

Más detalles

Display de Cristal Líquido de Cuarzo

Display de Cristal Líquido de Cuarzo Display de Cristal Líquido de Cuarzo Un LCD ó Display de Cristal Líquido de Cuarzo es una pantalla en la que puede desplegar información mediante la polarización de cuarzo líquido. Los LCDs pueden ser

Más detalles

Laboratorio 5: Semáforo de dos Vías

Laboratorio 5: Semáforo de dos Vías Laboratorio 5: Semáforo de dos Vías Objetivo. Aplicar los conocimientos teóricos adquiridos en clases sobre máquinas de estados secuenciales mediante la implementación práctica de un semáforo de cuatro

Más detalles

Secuenciales (1) Diseño de Sistemas con FPGA Patricia Borensztejn

Secuenciales (1) Diseño de Sistemas con FPGA Patricia Borensztejn Secuenciales (1) Diseño de Sistemas con FPGA Patricia Borensztejn Sistemas Secuenciales Es un circuito con memoria. La memoria forma el estado del circuito. Las salidas son función de las entradas y del

Más detalles

DISEÑO DE SISTEMAS ELECTRÓNICOS DIGITALES AVANZADOS

DISEÑO DE SISTEMAS ELECTRÓNICOS DIGITALES AVANZADOS Práctica 2 DISEÑO DE SISTEMAS ELECTRÓNICOS DIGITALES AVANZADOS Controlador de teclado Universidad de Alicante Curso 2013/14 Práctica 2 Práctica 2 Control del teclado 1. Introducción Esta práctica plantea

Más detalles

Secuenciales (1) Diseño de Sistemas con FPGA 2 cuatrimestre 2009 Patricia Borensztejn

Secuenciales (1) Diseño de Sistemas con FPGA 2 cuatrimestre 2009 Patricia Borensztejn Secuenciales (1) Diseño de Sistemas con FPGA 2 cuatrimestre 2009 Patricia Borensztejn Sistemas Secuenciales Es un circuito con memoria. La memoria forma el estado del circuito. Las salidas son función

Más detalles

Secuenciales II. Diseño de Sistemas con FPGA Patricia Borensztejn

Secuenciales II. Diseño de Sistemas con FPGA Patricia Borensztejn Secuenciales II Diseño de Sistemas con FPGA Patricia Borensztejn Revisado 2014 Modelado de Sistemas Secuenciales Según la función del siguiente estado se dividen en: Circuitos secuenciales regulares: el

Más detalles

PicoBlaze(3) Interfaces de Entrada/Salida. Diseño de Sistemas con FPGA 1er cuatrimestre 2009 Patricia Borensztejn

PicoBlaze(3) Interfaces de Entrada/Salida. Diseño de Sistemas con FPGA 1er cuatrimestre 2009 Patricia Borensztejn PicoBlaze(3) Interfaces de Entrada/Salida Diseño de Sistemas con FPGA 1er cuatrimestre 2009 Patricia Borensztejn Temario Esta clase contiene: Interface de entrada/salida en PicoBlaze Ejemplo 1: a 2 +b

Más detalles

INTRODUCCIÓN A HDL VERILOG

INTRODUCCIÓN A HDL VERILOG INTRODUCCIÓN A HDL VERILOG Departamento de Tecnología Electrónica Universidad de Sevilla Paulino Ruiz de Clavijo Vázquez Rev.7 (nov 2012) Índice Introducción a HDL Verilog Bloque I:

Más detalles

9-Sistemas Secuenciales

9-Sistemas Secuenciales 9-Sistemas Secuenciales 9. Máquinas de Estados Finitos 9.2 Mealy y Moore 9.3 Implementación en Verilog 9: Secuenciales Abstracción Dividir circuito en lógica combinacional y estado (state) Localizar los

Más detalles

Circuito de refresco de un Display

Circuito de refresco de un Display DEPARTAMENTO DE TECNOLOGÍA ELECTRÓNICA ESCUELA TÉCNICA SUPERIOR DE INGENIERÍA INFORMÁTICA Circuito de refresco de un Display Sistemas Digitales Avanzados 1. Introducción y objetivos Uno de los objetivos

Más detalles

ELO211: Sistemas Digitales. Tomás Arredondo Vidal 1er Semestre 2008

ELO211: Sistemas Digitales. Tomás Arredondo Vidal 1er Semestre 2008 ELO211: Sistemas Digitales Tomás Arredondo Vidal 1er Semestre 2008 Este material está basado en: textos y material de apoyo: Contemporary Logic Design 1 st / 2 nd Borriello and Randy Katz. Prentice Hall,

Más detalles

Tema 2. Sistemas Digitales

Tema 2. Sistemas Digitales Tema 2 Sistemas Digitales Contenidos del tema El nivel RT Diseño de la unidad de datos Interconexión mediante buses Ejemplo: diseño de una calculadora simple Diseño de la unidad de control: Descripción

Más detalles

Sistemas Combinacionales y mas Verilog. Diseño de Sistemas con FPGA Patricia Borensztejn

Sistemas Combinacionales y mas Verilog. Diseño de Sistemas con FPGA Patricia Borensztejn Sistemas Combinacionales y mas Verilog Diseño de Sistemas con FPGA Patricia Borensztejn Verilog para síntesis Circuitos Combinacionales a nivel RT Tipos de Datos en Verilog Dos tipos de datos: Net: representan

Más detalles

DISEÑO DE PROCESADORES DEDICADOS. Práctica 6 LCD de Propósito General

DISEÑO DE PROCESADORES DEDICADOS. Práctica 6 LCD de Propósito General DISEÑO DE PROCESADORES DEDICADOS Instituto Politécnico Nacional Práctica 6 LCD de Propósito General Campo 1: Datos Personales. Centro de Innovación y Desarrollo Tecnológico en Cómputo CIDETEC Mayo 2015

Más detalles

module MUX_4_1 (Z, S1, S0, A3, A2, A1, A0); input S1, S0, A3, A2, A1, A0; output Z; wire W3, W2, W1, W0, NS1, NS0;

module MUX_4_1 (Z, S1, S0, A3, A2, A1, A0); input S1, S0, A3, A2, A1, A0; output Z; wire W3, W2, W1, W0, NS1, NS0; 1 - INTRODUCCIÓN La creación de circuitos integrados utilizando herramientas CAD (Computer Aided Design) conlleva una serie secuencial de pasos, comenzando con el diseño de entrada y finalizando con la

Más detalles

FIFO. Diseño de Sistemas con FPGA Patricia Borensztejn

FIFO. Diseño de Sistemas con FPGA Patricia Borensztejn FIFO Diseño de Sistemas con FPGA Patricia Borensztejn Revisado mayo 2015 FIFO: Especificación Una cola FIFO es una estructura de datos (Tamaño: n datos de b bits) con dos señales: wr y rd. La señal wr,

Más detalles

Registros y latches multibit. EL-3213 Circuitos Digitales I. Registro de 8 bits (octal register) Otros registros de 8 bits. 74x175

Registros y latches multibit. EL-3213 Circuitos Digitales I. Registro de 8 bits (octal register) Otros registros de 8 bits. 74x175 Registros y latches multibit EL-3213 Circuitos Digitales I 74x175 Registros Contadores Registros de Desplazamiento 1 2 Registro de 8 bits (octal register) 74x374 Salida de 3 estados Otros registros de

Más detalles

ELO211: Sistemas Digitales. Tomás Arredondo Vidal 1er Semestre 2009

ELO211: Sistemas Digitales. Tomás Arredondo Vidal 1er Semestre 2009 ELO211: Sistemas Digitales Tomás Arredondo Vidal 1er Semestre 29 Este material está basado en: textos y material de apoyo: Contemporary Logic Design 1 st / 2 nd edition. Gaetano Borriello and Randy Katz.

Más detalles

EL-3213 Circuitos Digitales I. Registros y latches multibit

EL-3213 Circuitos Digitales I. Registros y latches multibit EL-3213 Circuitos Digitales I Registros Contadores Registros de Desplazamiento 1 Registros y latches multibit 74x175 2 Registro de 8 bits (octal register) 74x374 Salida de 3 estados 3 Otros registros de

Más detalles

Periféricos II Teclado y ratón PS2

Periféricos II Teclado y ratón PS2 Práctica 2 Periféricos II Teclado y ratón PS2 1 Introducción La tarjeta de Altera UP2 incluye la posibilidad de incorporar un teclado o un ratón PS2 ya que incluye un conector PS2 que incorpora la interfaz

Más detalles

Electrónica Digital: Diseño y Lógica Secuencial

Electrónica Digital: Diseño y Lógica Secuencial Electrónica Digital: Diseño y Lógica Secuencial Profesor: Ing Andrés Felipe Suárez Grupo de Investigación en Percepción y Sistemas Inteligentes. Email: Andres.suarez@correounivalle.edu.co Tabla de Contenido

Más detalles

PERR TO MOBF INH A2 SYS IBF OBF. Tabla 1: Flags de estado del status register (0x64) en modo PS/2

PERR TO MOBF INH A2 SYS IBF OBF. Tabla 1: Flags de estado del status register (0x64) en modo PS/2 Manejo del 8042 El manejo del controlador de teclado 8042 puede consultarse en la bibliografía, referencias [5], [22], [23] y, especialmente, [27]. A continuación se resume toda la información que se considera

Más detalles

Depuración de señales CIRCUITOS SISO. Circuito SISO. Circuito SIPO. Circuito PISO

Depuración de señales CIRCUITOS SISO. Circuito SISO. Circuito SIPO. Circuito PISO Depuración de señales CIRCUITOS SISO Circuito SISO Circuito SIPO Circuito PISO DEPURACIÓN DE SEÑALES Cuando tenemos muchas señales (o cables) es difícil depurar el comportamiento del sistema simplemente

Más detalles

Dispositivos de Memoria

Dispositivos de Memoria Práctica No. 2 Dispositivos de Memoria Datos de la práctica Carrera INGENIERIA ELECTRONICA Semestre Grupo Tipo Practica Laboratorio Simulación Fecha Asignatura Unidad Temática No Alumnos por practica 2

Más detalles

CURSO: ELECTRÓNICA DIGITAL UNIDAD 3: SISTEMAS SECUENCIALES - TEORÍA PROFESOR: JORGE ANTONIO POLANÍA

CURSO: ELECTRÓNICA DIGITAL UNIDAD 3: SISTEMAS SECUENCIALES - TEORÍA PROFESOR: JORGE ANTONIO POLANÍA CURSO: ELECTRÓNICA DIGITAL UNIDAD 3: SISTEMAS SECUENCIALES - TEORÍA PROFESOR: JORGE ANTONIO POLANÍA Como vimos en la unidad anterior, un sistema combinatorio se identifica porque la salida del sistema

Más detalles

UNIDAD I CONCEPTOS GENERALES. Conceptos Generales Microprocesadores Otoño 2011

UNIDAD I CONCEPTOS GENERALES. Conceptos Generales Microprocesadores Otoño 2011 1 UNIDAD I CONCEPTOS GENERALES Fall 2011 Conceptos Generales Microprocesadores Otoño 2011 Contenido 2 Introducción Arquitectura generalizada de una computadora Componentes de una computadora Conjunto de

Más detalles

Titulación: Ingeniería Informática Asignatura: Fundamentos de Computadores. Bloque 3: Sistemas secuenciales Tema 9: Módulos secuenciales básicos

Titulación: Ingeniería Informática Asignatura: Fundamentos de Computadores. Bloque 3: Sistemas secuenciales Tema 9: Módulos secuenciales básicos Titulación: Ingeniería Informática Asignatura: Fundamentos de Computadores Bloque 3: Sistemas secuenciales Tema 9: Módulos secuenciales básicos Pablo Huerta Pellitero Luis Rincón Córcoles ÍNDICE Bibliografía

Más detalles

LABORATORIO DE CIRCUITOS DIGITALES (2005-II) QUINTA CLASE DE VHDL

LABORATORIO DE CIRCUITOS DIGITALES (2005-II) QUINTA CLASE DE VHDL LABORATORIO DE CIRCUITOS DIGITALES (2005-II) QUINTA CLASE DE VHDL MÁQUINAS DE ESTADO FINITAS (FSMs) Máquinas de Moore Máquinas de Mealy MÁQUINAS DE ESTADOS FINITAS (FSMs) Tipos: Máquina de Moore: Las salidas

Más detalles

Módulo 2 n. Figura 2.1. Simbología de un contador

Módulo 2 n. Figura 2.1. Simbología de un contador Contadores 2.1. Introducción Los contadores son aplicaciones clásicas de los flip-flop, es un dispositivo electrónico capaz de contar el número de pulsos que llegan a su entrada de reloj. En muchas ocasiones

Más detalles

Laboratorio 2 Puerto con Handshake y Recepción serie

Laboratorio 2 Puerto con Handshake y Recepción serie Laboratorio 2 Puerto con Handshake y Recepción serie Objetivos Desarrollar programas de complejidad media con E/S controlada por handshake. Comunicarse con un periférico utilizando un protocolo estándar.

Más detalles

Trabajo práctico 2. Contadores

Trabajo práctico 2. Contadores Universidad Austral de Chile Facultad de Ciencias de la Ingeniería Trabajo práctico 2. Contadores Sistemas Lógicos Binarios ELEL-170 Integrantes: Fernando Nanco Andrés Sánchez Juan Luis Almendras Profesor:

Más detalles

Problemas de Sistemas Digitales. Grados en I.Informática e I.Informática de Sistemas

Problemas de Sistemas Digitales. Grados en I.Informática e I.Informática de Sistemas Problemas de Sistemas Digitales Grados en I.Informática e I.Informática de Sistemas Curso 2013 2014 Introducción 1. Utilizando tablas de verdad, comprobar que cualquier función lógica de dos variables

Más detalles

PRÁCTICA DE SÍNTESIS nº2

PRÁCTICA DE SÍNTESIS nº2 PRÁCTICA DE SÍNTESIS nº2 Sistemas secuenciales: detector de trama y contador 1.- Introducción En esta práctica hay que implementar un detector de trama a partir de una máquina tipo Mealy. El detector debe

Más detalles

VHDL: Código Secuencial. Arquitectura del Computador 2017

VHDL: Código Secuencial. Arquitectura del Computador 2017 VHDL: Código Secuencial Arquitectura del Computador 2017 PROCESSES, FUNCTIONS y PROCEDURES Solo se ejecuta secuencialmente el código que se encuentra dentro de PROCESSES, FUNCTIONS o PROCEDURES. Cualquiera

Más detalles

CYNTHIA PATRICIA GUERRERO SAUCEDO

CYNTHIA PATRICIA GUERRERO SAUCEDO Manual de prácticas Prueba Circuitos Electrónicos Digitales Para Sistemas de Control Tabla de contenido Practica #1 Las Compuertas Lógicas...3 Practica #2 Circuitos Lógicos Combinacionales...6 Practica

Más detalles

Examen 5 de Febrero de 2010

Examen 5 de Febrero de 2010 Instrucciones Examen 5 de Febrero de 2010 Indique su nombre completo y número de cédula en cada hoja. Numere todas las hojas e indique la cantidad total de hojas que entrega en la primera. Escriba las

Más detalles

EIE SISTEMAS DIGITALES Tema 10: Registros de desplazamiento. Nombre del curso: Sistemas Digitales Nombre del docente: Héctor Vargas

EIE SISTEMAS DIGITALES Tema 10: Registros de desplazamiento. Nombre del curso: Sistemas Digitales Nombre del docente: Héctor Vargas EIE 446 - SISTEMAS DIGITALES Tema 10: Registros de desplazamiento Nombre del curso: Sistemas Digitales Nombre del docente: Héctor Vargas OBJETIVOS DE LA UNIDAD Identificar las formas básicas de movimiento

Más detalles

Tema 5 Subsistemas Combinacionales

Tema 5 Subsistemas Combinacionales Tema 5 Subsistemas Combinacionales ------------------------------------------------------------------------- Usted es libre de copiar, distribuir y comunicar públicamente la obra y de hacer obras derivadas

Más detalles

TEMA 5.3 SISTEMAS DIGITALES

TEMA 5.3 SISTEMAS DIGITALES TEMA 5.3 SISTEMAS DIGITALES TEMA 5 SISTEMAS DIGITALES FUNDAMENTOS DE ELECTRÓNICA 08 de enero de 2015 TEMA 5.3 SISTEMAS DIGITALES Introducción Sistemas combinacionales Sistemas secuenciales TEMA 5.3 SISTEMAS

Más detalles

UNIVERSIDAD CARLOS III DE MADRID. Ejercicios de VHDL. Circuitos Integrados y Microelectrónica. Luis Entrena. Celia López.

UNIVERSIDAD CARLOS III DE MADRID. Ejercicios de VHDL. Circuitos Integrados y Microelectrónica. Luis Entrena. Celia López. UNIVERSIDAD CARLOS III DE MADRID Ejercicios de VHDL Circuitos Integrados y Microelectrónica Luis Entrena Celia López Mario García Enrique San Millán Marta Portela Almudena Lindoso Problema 1 Se pretende

Más detalles

Otros circuitos digitales. Actividad de apertura. Circuitos lógicos secuenciales.

Otros circuitos digitales. Actividad de apertura. Circuitos lógicos secuenciales. Otros circuitos digitales En esta unidad aprenderás: El funcionamiento de los codificadores y decodificadores Multiplexor y Demultiplexor Convertidor Digital-Análogo y Análogo-Digital UNIDAD 4 Actividad

Más detalles

Electrónica Digital. Actividad Dirigida. Implementación de un Cronómetro Digital

Electrónica Digital. Actividad Dirigida. Implementación de un Cronómetro Digital Electrónica Digital Actividad Dirigida Implementación de un Cronómetro Digital Trabajo a realizar La actividad consiste en la implementación de un cronómetro digital con capacidad de cuenta de minutos

Más detalles

PROYECTO DE DISEÑO 2 INFORMACIÓN PRELIMINAR

PROYECTO DE DISEÑO 2 INFORMACIÓN PRELIMINAR INSTITUTO TECNOLÓGICO DE COSTA RICA ESCUELA DE INGENIERÍA ELECTRÓNICA IE-3308 LABORATORIO DE DISEÑO LÓGICO Profesor: Ing. Luis Carlos Rosales. PROYECTO DE DISEÑO 2 INFORMACIÓN PRELIMINAR Información General:

Más detalles

Electrónica Digital II. Contadores

Electrónica Digital II. Contadores Contadores 1.-Diseñe un contador síncrono descendente modulo 13.El tipo de biestable que se utiliza para su diseño 7476. El contador que se diseña posee un RESET externo que lo inicializa en su mayor conteo.

Más detalles

Laboratorio 8: Controlador VGA

Laboratorio 8: Controlador VGA Objetivos: Laboratorio 8: Controlador VGA Estudiar especificaciones de monitores tipo VGA. Aplicar conceptos de máquinas secuenciales y diagramas temporales para controlar la interfaz VGA de la tarjeta

Más detalles

Registros. Registro de Corrimiento Básico

Registros. Registro de Corrimiento Básico Registros. Son dispositivos digitales donde se obtiene almacenamiento temporal. Dado que la memoria y el desplazamiento de información son sus características básicas, los registros son circuitos secuenciales

Más detalles

TRABAJO PRÁCTICO Nº 4. Sistemas combinacionales MSI

TRABAJO PRÁCTICO Nº 4. Sistemas combinacionales MSI Sistemas Digitales TRABAJO PRÁCTICO Nº 4 Sistemas combinacionales MSI Ejercicio Nº 1: Se desea transmitir datos de dos bits con control de paridad impar. Utilice un decodificador para diseñar un circuito

Más detalles

Practica 1 (3.5 %) 1. Realice el diseño y montaje de un R_S discreto activo en bajo.

Practica 1 (3.5 %) 1. Realice el diseño y montaje de un R_S discreto activo en bajo. TITULO : Biestables, Monoestables y Astables 1.-Objetivos: Practica 1 (3.5 %) Estudiar y analizar el comportamiento de los biestables asíncronos y sincronos. Realizar montajes con diferentes tipo de Monoestables.:

Más detalles

APLICACIÓN CON LOS KITS LEGO Y DRIVERS PARA LOS MOTORES DC DE ESTOS KITS CON LA TARJETA XS95 MINI PALANCA MECANICA

APLICACIÓN CON LOS KITS LEGO Y DRIVERS PARA LOS MOTORES DC DE ESTOS KITS CON LA TARJETA XS95 MINI PALANCA MECANICA UTFSM Noviembre 2003 APLICACIÓN CON LOS KITS LEGO Y DRIVERS PARA LOS MOTORES DC DE ESTOS KITS CON LA TARJETA XS95 MINI PALANCA MECANICA 1. INTRODUCCIÓN En este documento se encuentra toda la información

Más detalles

Manual de referencia de la tarjeta BASYS 2

Manual de referencia de la tarjeta BASYS 2 Universidad Politécnica de Madrid ETSI de Telecomunicación Departamento de Ingeniería Electrónica Circuitos Electrónicos (Plan 2010) Curso 2012-2013 Manual de referencia de la tarjeta BASYS 2 Álvaro de

Más detalles

Diseño electrónico digital integrado: Back to basics. Sep-2011 F.Barbero Escuela Politécnica Superior - Universidad Autónoma de Madrid

Diseño electrónico digital integrado: Back to basics. Sep-2011 F.Barbero Escuela Politécnica Superior - Universidad Autónoma de Madrid Diseño electrónico digital integrado: Back to basics Sep-2011 F.Barbero Escuela Politécnica Superior - Universidad Autónoma de Madrid Flip-flop D con Reset Asíncrono Captura un dato con el flanco de reloj

Más detalles

LED INTERMITENTE CIRCUITO ELÉCTRICO MATERIALES PROGRAMA LED DOS CONECTORES CABLE USB ROBÓTICA. Puerto 8 ( salida al led rojo ) ARDUINO UNO 220 W GND

LED INTERMITENTE CIRCUITO ELÉCTRICO MATERIALES PROGRAMA LED DOS CONECTORES CABLE USB ROBÓTICA. Puerto 8 ( salida al led rojo ) ARDUINO UNO 220 W GND INTERMITENTE ROBÓTICA CIRCUITO ELÉCTRICO Puerto 8 ( salida al led rojo ) 8 ARDUINO UNO GND ROJO MATERIALES ARDUINO UNO PROTOBOARD ROJO DOS CONECTORES CABLE USB PROGRAMA void setup ( ) { pinmode ( 8, OUTPUT

Más detalles

Recursos y Metodologías. Función. Programas

Recursos y Metodologías. Función. Programas Recursos y Metodologías Sistema Digital Estructura { + Función Descripción Datos R. Cómputo R. Almacenamiento R. Conexionado { Comandos Implementación Full-custom Semi-custom Gate Arrays Programas Lenguaje

Más detalles

TARJETA DE DESARROLLO DE SISTEMAS CON TECNOLOGÍA FPGA.

TARJETA DE DESARROLLO DE SISTEMAS CON TECNOLOGÍA FPGA. TARJETA DE DESARROLLO DE SISTEMAS CON TECNOLOGÍA FPGA. Características Técnicas: FPGA de Xilinx XC2S400E ISP PROM XC18V04 Memoria RAM estática: 2Mbytes Memoria FLASH: 4 Mbytes Temperatura de almacenamiento:

Más detalles

LECCIÓN Nº 06 DISEÑO DE CONTADORES SINCRONOS

LECCIÓN Nº 06 DISEÑO DE CONTADORES SINCRONOS LECCIÓN Nº 06 DISEÑO DE CONTADORES SINCRONOS 1. DISPOSITIVOS SECUENCIALES Los circuitos biestables son aquellos que poseen dos estados estables que se pueden mantener por tiempo indefinido, lo que nos

Más detalles

Módulo de 16 entradas digitales modbus:

Módulo de 16 entradas digitales modbus: Características principales: Módulo de 16 entradas digitales modbus: Rev Hard : 00 Versión Soft : 1,00 16 entradas bidireccionales ( 12 a 24Vcc ) aisladas. 16 contadores de 32 bits ascendentes con retención

Más detalles

Circuitos lógicos MSI Combinacionales

Circuitos lógicos MSI Combinacionales Departamento de Electrónica Electrónica Digital Circuitos lógicos MSI Combinacionales Facultad de Ingeniería Bioingeniería Universidad Nacional de Entre Ríos 1 Temario Decodificadores / Conversores de

Más detalles

Componentes indispensables Un (1) 74LS181 ALU Un (1) 74 LS 47 Un display 7seg Ánodo Común

Componentes indispensables Un (1) 74LS181 ALU Un (1) 74 LS 47 Un display 7seg Ánodo Común Universidad Simón Bolívar Departamento de Electrónica y Circuitos EC1723, Circuitos Digitales Trimestre Septiembre-Diciembre 2012 Laboratorio - Práctica 2: Circuitos Combinatorios de Media Escala de Integración

Más detalles

ÍNDICE CAPÍTULO 1 FUNDAMENTOS DE LOS MICROCONTROLADORES

ÍNDICE CAPÍTULO 1 FUNDAMENTOS DE LOS MICROCONTROLADORES ÍNDICE CAPÍTULO 1 FUNDAMENTOS DE LOS MICROCONTROLADORES 1.1 Introducción 1.2 Fundamentos de los procesadores digitales secuenciales 1.2.1 Introducción 1.2.2 Arquitectura interna 1.2.2.1 Procesadores digitales

Más detalles

Unidad III. Interfaces de comunicación Series, USB, CAN, Field Bus, Etc.

Unidad III. Interfaces de comunicación Series, USB, CAN, Field Bus, Etc. Unidad III Interfaces de comunicación Series, USB, CAN, Field Bus, Etc. Principios básicos Elementos comunes en sistemas de comunicación de datos Trasmisor y Receptor Tabla ASCII American Standard Code

Más detalles

Capítulo III: DESCRIPCIÓN FUNCIONAL

Capítulo III: DESCRIPCIÓN FUNCIONAL Capítulo III: DESCRIPCIÓN FUNCIONAL Proyecto Fin de Carrera 13 Antonio Andújar Caballero 3. DESCRIPCIÓN FUNCIONAL. En el ecualizador digital diseñado se distinguen varios módulos diferenciados tanto física

Más detalles

5. Decodificadores. Salida _1= A A A A = m = M ... Electrónica Digital. Tema

5. Decodificadores. Salida _1= A A A A = m = M ... Electrónica Digital. Tema 5. Decodificadores La función de un decodificador es la siguiente: ante una combinación concreta binaria de entrada (correspondiente a una combinación de algún código binario), activar una salida correspondiente

Más detalles

Arquitectura del Procesador I

Arquitectura del Procesador I Arquitectura del Procesador I PRACTICO Nº 2 Circuitos Secuenciales Ejercicio 21 (a): Se necesita crear un circuito que divida por 3 una frecuencia de reloj. Si consideramos que el funcionamiento de todo

Más detalles

tema 6: pulsadores, teclados, ratones

tema 6: pulsadores, teclados, ratones 1 tema 6: pulsadores, teclados, ratones Diseño o Automático tico de Sistemas José Manuel Mendías Cuadros Dpto. Arquitectura de Computadores y Automática tica Universidad Complutense de Madrid 2 interruptores

Más detalles

PRÁCTICA 7. CIRCUITOS ARITMÉTICOS

PRÁCTICA 7. CIRCUITOS ARITMÉTICOS PRÁCTICA 7. CIRCUITOS ARITMÉTICOS 1. Objetivo El objetivo de esta práctica es estudiar circuitos aritméticos. Como ejemplo de los circuitos aritméticos se va a usar el integrado 74LS283 (sumador completo

Más detalles

Tablero Indicador de Turnos para Oficinas Públicas

Tablero Indicador de Turnos para Oficinas Públicas Tablero Indicador de Turnos para Oficinas Públicas Maggiolo Gustavo Caballero Raul Reula Germán Pfarher Iván Email: {gustavomaggiolo, raul_caballero, germanreula}@frp.utn.edu.ar ivan_pfarher@hotmail.com

Más detalles

Introducción a Verilog y XILINX

Introducción a Verilog y XILINX DEPARTAMENTO DE TECNOLOGÍA ELECTRÓNICA ESCUELA TÉCNICA SUPERIOR DE INGENIERÍA INFORMÁTICA Introducción a Verilog y XILINX Sistemas Digitales Avanzados 1. Introducción y objetivos Uno de los objetivos generales

Más detalles

Multiplicador Secuencial 4x4

Multiplicador Secuencial 4x4 DEPARTAMENTO DE TECNOLOGÍA ELECTRÓNICA ESCUELA TÉCNICA SUPERIOR DE INGENIERÍA INFORMÁTICA Multiplicador Secuencial x Enunciados de Prácticas de Laboratorio Estructura de Computadores 1. Introducción y

Más detalles

Además permite programas cualquier circuito integrado DS 1620 como un sistema autónomo, por ejemplo un termostato sin una CPU.

Además permite programas cualquier circuito integrado DS 1620 como un sistema autónomo, por ejemplo un termostato sin una CPU. TERMÓMETRO DIGITAL CON INTERFAZ RS-. INTRODUCCIÓN. Básicamente el circuito consiste en un microcontrolador del tipo PICC, y un circuito integrado del tipo DS0 (de Dallas Semiconductor), que realiza las

Más detalles

Máquinas de Estados en la GAL22V10 Usando ABEL-HDL

Máquinas de Estados en la GAL22V10 Usando ABEL-HDL Máquinas de Estados en la GAL22V10 Usando ABEL-HDL Una Máquina de estados es un dispositivo digital que atraviesa una predeterminada secuencia de estados. Las máquinas de estados se usan típicamente para

Más detalles

SISTEMAS ELECTRÓNICOS DIGITALES

SISTEMAS ELECTRÓNICOS DIGITALES SISTEMAS ELECTRÓNICOS DIGITALES PRÁCTICA 6 SISTEMA DE ENCRIPTACIÓN 1. Objetivos - Estudio del funcionamiento de memorias RAM y CAM. - Estudio de métodos de encriptación y compresión de datos. 2. Enunciado

Más detalles

Introducción Tipos de FF Ejercicios. Lógica Digital. Circuitos Secuenciales. Francisco García Eijó

Introducción Tipos de FF Ejercicios. Lógica Digital. Circuitos Secuenciales. Francisco García Eijó Circuitos Secuenciales Organización del Computador I Departamento de Computación - FCEyN UBA 13 de Abril del 2010 Agenda 1 Repaso 2 ué son los circuitos secuenciales? 3 Tipos de Flip-Flops 4 Ejercicios

Más detalles

Laboratorios de Sistemas Digitales Avanzados 5º curso de Ingeniería en Informática

Laboratorios de Sistemas Digitales Avanzados 5º curso de Ingeniería en Informática DEPARTAMENTO DE TECNOLOGÍA ELECTRÓNICA ESCUELA TÉCNICA SUPERIOR DE INGENIERÍA INFORMÁTICA Laboratorios de Sistemas Digitales Avanzados 5º curso de Ingeniería en Informática Paulino Ruiz de Clavijo Vázquez

Más detalles

Tema 9 Periféricos de Entrada

Tema 9 Periféricos de Entrada Tema 9 Periféricos de Entrada Periféricos e Interfaces Tema 9/1 Contenido TECLADOS DISPOSITIVOS APUNTADORES DIGITALIZADORES Teclados Tecnología y Tipos Estructura Funcionalidad Interfaz al computador:

Más detalles

CODIFICADORES Y DECODIFICADORES

CODIFICADORES Y DECODIFICADORES CODIFICADORES Y DECODIFICADORES Centro CFP/ES CODIFICADORES Un elemento cuyo código de entrada tiene más bits que el código de salida, a este elemento le llamaremos codificador. Si tenemos tres salidas,

Más detalles

Arquitectura de Computadores. Práctica 1: Diseño Hardware de Procesadores. Práctica 1/1

Arquitectura de Computadores. Práctica 1: Diseño Hardware de Procesadores. Práctica 1/1 Arquitectura de Computadores Práctica 1: Diseño Hardware de Procesadores Práctica 1/1 Práctica 1 Ejercicio 1: Modelado VHDL de la etapa IF en el procesador DLX32 Ejercicio 2: Ampliación Y Especialización

Más detalles

Montaje y evaluación de sistemas digitales combinacionales.

Montaje y evaluación de sistemas digitales combinacionales. PRÁCTICA 3 Montaje y evaluación de sistemas digitales combinacionales. 1. Objetivos El objetivo de la siguiente práctica es familiarizar al alumno con el manejo de sistemas combinacionales, además de:

Más detalles

Memorias primitivas sincrónicas.

Memorias primitivas sincrónicas. Capítulo 0 Memorias primitivas sincrónicas. Se estudiarán algunos dispositivos capaces de almacenar un bit de información, desde un punto de vista lógico. Es decir, qué hace la componente; sin explicar

Más detalles

1. Módulo de desarrollo Spartan 3 STARTER KIT con un FPGA xc3s200 ft256 2. Software de diseño XILINX ISE versión 10.1

1. Módulo de desarrollo Spartan 3 STARTER KIT con un FPGA xc3s200 ft256 2. Software de diseño XILINX ISE versión 10.1 Universidad Simón Bolívar Departamento de Electrónica y Circuitos EC1723, Circuitos Digitales Trimestre Septiembre-Diciembre 2008 Realización: Semana 8. Miércoles 5 de Noviembre Laboratorio Práctica 4:

Más detalles

Programación de Microcontroladores

Programación de Microcontroladores Programación de Microcontroladores Simulación en Crocodile Technology Práctica Nº 1 Encender un led cuando arranque el microcontrolador. Práctica Nº 2 Encender un led tres veces consecutivas. 1 Práctica

Más detalles

Instituto Tecnológico de Tuxtla Gutiérrez PRACTICAS DE GAL S. Software WinCupl 5.0

Instituto Tecnológico de Tuxtla Gutiérrez PRACTICAS DE GAL S. Software WinCupl 5.0 PRACTICAS DE GAL S Software WinCupl 5.0 10 PRACTICAS DE GAL S (22V10) 1.- Compuerta and de dos entradas. 2.- Una compuerta or y una compuerta and de dos entradas. 3.- Decodificador de binario a decimal.

Más detalles

FACULTAD DE INGENIERÍA Diseño de Sistemas Digitales

FACULTAD DE INGENIERÍA Diseño de Sistemas Digitales UNIVERSIDAD NACIONAL AUTÓNOMA DE MÉXICO FACULTAD DE INGENIERÍA Diseño de Sistemas Digitales : Diseño de un Divisor de Frecuencia Alumno: Jesús Alfredo Hernández Alarcón Profesor: M.I. Norma Elva Chávez

Más detalles

PARTE I. TÉCNICAS DE DISEÑO ELECTRÓNICO MEDIANTE LENGUAJES DE DESCRIPCIÓN HARDWARE

PARTE I. TÉCNICAS DE DISEÑO ELECTRÓNICO MEDIANTE LENGUAJES DE DESCRIPCIÓN HARDWARE PARTE I. TÉCNICAS DE DISEÑO ELECTRÓNICO MEDIANTE LENGUAJES DE DESCRIPCIÓN HARDWARE TEMA 3. Modelos de sistemas con VHDL Curso 05/06 1 TEMA 3. Modelos de sistemas digitales 3.1 Sistemas combinacionales

Más detalles

Modulo de desarrollo. Spartan 3 Starter Kit

Modulo de desarrollo. Spartan 3 Starter Kit Modulo de desarrollo Spartan 3 Starter Kit Universidad Simón Bolívar - 1 - EC1723 Circuitos Digitales Modulo de Desarrollo: Spartan 3 Starter Kit Elementos constituyentes del modulo SPARTAN 3 Starter Kit

Más detalles

Universidad Autónoma de Querétaro Facultad de Ingeniería

Universidad Autónoma de Querétaro Facultad de Ingeniería Universidad Autónoma de Querétaro Facultad de Ingeniería Manual de Prácticas Sistemas Digitales con Lógica Reconfigurable (SDLRI) Que como parte de los requisitos para obtener el grado de Ingeniero en

Más detalles

FUNDAMENTOS DE SISTEMAS DIGITALES. Tema 3: Lógica combinacional (II): Ruta de datos

FUNDAMENTOS DE SISTEMAS DIGITALES. Tema 3: Lógica combinacional (II): Ruta de datos FUNDAMENTOS DE SISTEMAS DIGITALES Tema 3: Lógica combinacional (II): Ruta de datos 1 Programa 1. Circuitos selectores de datos (multiplexores). 2. Demultiplexores. 3. Codificadores con prioridad. 4. Amplificadores

Más detalles

TPC N 2: Entradas y Salidas de propósito general

TPC N 2: Entradas y Salidas de propósito general TPC N 2: Entradas y Salidas de propósito general Los ejercicios pertenecientes a esta guía se resuelven en su totalidad mediante la utilización de la placa de expansión 1 Función Puerto net LED1 P07 Expansion0

Más detalles

DISEÑO DE PROCESADORES DEDICADOS. Práctica. No. 8 Circuitos de Barrido y Escaneo: Teclado Matricial de Propósito General y Matriz de LEDs

DISEÑO DE PROCESADORES DEDICADOS. Práctica. No. 8 Circuitos de Barrido y Escaneo: Teclado Matricial de Propósito General y Matriz de LEDs DISEÑO DE PROCESADORES DEDICADOS Práctica. No. 8 Circuitos de Barrido y Escaneo: Teclado Matricial de Propósito General y Matriz de LEDs DR. JUAN CARLOS HERRERA LOZADA jlozada@ipn.mx Instituto Politécnico

Más detalles

PRÁCTICA 3 Montaje y evaluación de sistemas digitales combinacionales.

PRÁCTICA 3 Montaje y evaluación de sistemas digitales combinacionales. Montaje y evaluación de sistemas digitales combinacionales. 1. Objetivos El objetivo de la siguiente práctica es familiarizar al alumno con el manejo de sistemas combinacionales, además de: Manejar las

Más detalles

Tema 7. Análisis de Circuitos Secuenciales

Tema 7. Análisis de Circuitos Secuenciales Tema 7. Análisis de Circuitos Secuenciales Máquinas de estado finito (FSM). Análisis de circuitos secuenciales síncronos. Introducción al diseño secuenciales síncronos. de circuitos Contadores. Registros

Más detalles

DISEÑO DE APLICACIONES ELECTRÓNICAS EN ARDUINO. Curso teórico-práctico, básico, de diseño de aplicaciones electrónicas en Arduino.

DISEÑO DE APLICACIONES ELECTRÓNICAS EN ARDUINO. Curso teórico-práctico, básico, de diseño de aplicaciones electrónicas en Arduino. DISEÑO DE APLICACIONES ELECTRÓNICAS EN ARDUINO Nombre de la actividad Curso teórico-práctico, básico, de diseño de aplicaciones electrónicas en Arduino. Nivel Básico. Público al cual va dirigido Dirigido

Más detalles

Practica No. 1 Circuitos Secuenciales

Practica No. 1 Circuitos Secuenciales Practica No. 1 Circuitos Secuenciales Objetivo: Conocer la estructura y características de la tarjeta de dispositivos lógicos programables TerasIC proporcionada a los alumnos, el software de operación

Más detalles

MÓDULO ÁREA DE ELECTRÓNICA.

MÓDULO ÁREA DE ELECTRÓNICA. MÓDULO DE DESARROLLO MICROCONTROLADORES PIC S MÓDULO ÁREA DE ELECTRÓNICA. Como se sabe cada vez crece más el número de personas que ingresan al mundo de programación de los microcontrodores, debido a s

Más detalles

Placa Controladora Ethernet 8 Relay 8 Entradas Digitales - 3 Analógicas Manual de Usuario

Placa Controladora Ethernet 8 Relay 8 Entradas Digitales - 3 Analógicas Manual de Usuario Placa Controladora Ethernet 8 Relay 8 Entradas Digitales - 3 Analógicas Manual de Usuario Modelo: ETHER 8R8I3A Todas las placas son producidas por ElectroTAS Última Actualización Junio 2014 Copyright(c)

Más detalles

3 - DISEÑO RTL. Existen dos tipos de descripciones a nivel de comportamiento en Verilog:

3 - DISEÑO RTL. Existen dos tipos de descripciones a nivel de comportamiento en Verilog: 3 - DISEÑO RTL Un diseño a nivel funcional o de comportamiento (behavioral description) permite describir circuitos digitales atendiendo únicamente a las relaciones existentes entre las entradas y salidas

Más detalles