Prof. Dr.-Ing. F. Schubert VHDL

Tamaño: px
Comenzar la demostración a partir de la página:

Download "Prof. Dr.-Ing. F. Schubert VHDL"

Transcripción

1 Very high speed integrated circuits Hardware Description Language standardizado en 1987 por I (Institute of lectrical and lectronics ngineers) como I Revisionado en 1993 I SIMULADOR SÍNTSIS-TOOL RSULTADO D LA SIMULACIÒN 1 HARDWAR & & /2006

2 La estructura de una descripción de los circuitos con A B & Y interconexíon hacia fuera: contenido (funcíon) interior: SÑALS entity architecture entity <nombre del circuito> is port (--entradas y salidas); end <nombre del circuito >; architecture <nombre de la arquitectura> of <nombre del circuito> is -- instrucciones de ; end <nombre de la arquitectura>; entity y architecture pertenecen juntos letras grandes y pequeñas son sin importancia comentarios empiezan con -- y terminan al final de la linea instrucciones terminan con punto y coma (;) nombres empiezan con una letra, entonces pueden seguir numeros, letras o subrayados /2006

3 UND-Gate (Y = A & B) entity UND is port ( A: in bit; B: in bit; Y: out bit); end UND; architecture COMPORTAMINTO of UND is Y <= A and B; end COMPORTAMINTO; palabras claves de son pequeñas valores definidos de su mismo son grandes tipo de datos bit: Wertevorrat 0 bzw. 1 atributo de la señal: in señal de la entrada out señal de la salida operador de asignación <= a la izquierda no hay niguna señal de la entrada a la derecha no hay niguna señal de la salida operador and otros: not nand or nor xor xnor Y <= not( A and B and C); Y <= ( A nand B) nand C; Y <= A nand B nand C; /2006

4 Selección de las posibilidades iguales asignación selectiva: [designación:] with <combinación de las señales > select <nombre de la señal> <= <termino lógico_1> when <valor de la señal_1>, <termino lógico_2> when <valor de la señal _2>,.. [<termino lógico_n> when others]; asignación con condición: [designación:] <nombre de la señal> <= <termino lógico_1> when <condición_1> else <termino lógico_2> when <condición _2> else.. <termino lógico_n>; La asignación selectiva se refiere a una señal La asignación con condición puede referirse a señales diversas /2006

5 Antivalenz-Gate entity ANTIVALNZ is port ( I: in bit_vector (1 downto 0); Y: out bit); end ANTIVALNZ; architecture ANTI_1 of ANTIVALNZ is with I select Y <= 0 when 00, 0 when 11, 1 when others; end ANTI_1; architecture ANTI_2 of ANTIVALNZ is Y <= 0 when I = 00 else 0 when I = 11 else 1 ; end ANTI_2; operador de la comparación = otros: /= < > <= >= tipo de datos bit_vector: como tipo de datos bit, pero juntado como un vector elementos del vector: e.g.: I(1), I(0) asignación: bit valor en. bit_vector valor en... como Bit-String (bit string literal) /2006

6 2-a-1-MUX con entrada de desbloquear (enable) S I0 I1 entity MUX2ZU1 is port ( I: in bit_vector (1 downto 0); S, : in bit; Y: out bit); end MUX2ZU1; architecture MUX1 of MUX2ZU1 is Y <= (I(0) and not and not S) or (I(1) and not and S); end MUX1; MUX 0 0 G 1 N 0 1 Y architecture MUX2 of MUX2ZU1 is with S select Y <= (I(0) and not ) when 0, (I(1) and not ) when 1 ; end MUX2; architecture MUX3 of MUX2ZU1 is Y <= (I(0) and not ) when S= 0 else (I(1) and not ); end MUX3; /2006

7 Tipo de Datos std_logic_1164 Otros valores que 0 o 1 Fijado en una biblioteca de library ieee; -- integración de la biblioteca use ieee.std_logic_1164.all; -- definición del contenido parcial -- paquete std_logic_ todas las extensiones de la lengua estados logicos de std_logic y std_logic_vector: U X desconocido, (simulador), no inizializado desc., fuerte (simulador), e.g. conflicto en un bus entre 0 y 1 0 0, fuerte 1 1, fuerte Z High_Z Tristate L 0, débil open emitter H 1, débil open collector W desc., débil, (simulador), e.g. conflicto en un bus entre L y H - don t care /2006

8 TRISTAT-DRIVR library ieee; use ieee.std_logic_1164.all; entity TRI_DRV is port ( X: in std_logic; N: in bit; Y: out std_logic); end TRI_DRV; architecture COMPORTAMINTO of TRI_DRV is Y <= X when N = 1 else Z ; end COMPORTAMINTO; /2006

9 La descripción de los circuitos combinatorios 1. cuaciones Boleanas entity NTROADD is port ( 1, 0, CIN: in bit; SUM, COUT: out bit); end NTROADD; architecture COMPORTAMINTO of NTROADD is SUM <= 1 xor 0 xor CIN; COUT <= (1 and 0) or (CIN and (1 xor 0)); end COMPORTAMINTO; descripción compacta sin don t care /2006

10 2. Tablas de Verdad entity NTROADD is port ( 1, 0, CIN: in bit_vector(0 downto 0); SUM, COUT: out bit); end NTROADD; architecture COMPORTAMINTO of NTROADD is signal TMP: bit_vector(2 downto 0); TMP <= CIN & 1 & 0; -- operador del encadenamiento with TMP select SUM <= 0 when 000, 1 when 001, 1 when 010, 0 when 011, 1 when 100, 0 when 101, 0 when 110, 1 when others; with TMP select -- con = oder COUT <= 1 when , 0 when others; end COMPORTAMINTO; /2006

11 architecture COMPORTAMINTO of NTROADD is signal TMP1: bit_vector(1 downto 0); -- señales locales signal TMP2: bit_vector(2 downto 0); TMP2 <= CIN & 1 & 0; -- operador del encadenamiento with TMP2 select TMP1 <= 00 when 000, 01 when , 10 when , 11 when others; COUT <= TMP1(1); SUM <= TMP1(0); end COMPORTAMINTO; Para Bit-Strings (bit string literal) descripciones duales, octales y hexadecimales son permitidas. Con subrayado (underscore, _ ) se puede hacer grupos. b 1100_1001 base 2, identificación b y B o 7_0_4_3 base 8, identificación o y O x AFF_19D0 base 16, identificación x y X Longitud del vector octal: múltiplo integral de 3 hexadecimal: múltiplo integral de 4... TMP <= b 0_0 when o 0, b 0_1 when o 1 o 2 o 4, b 1_0 when o 3 o 5 o 6, b 1_1 when others; /2006

12 l uso de don t care a) en la salida (3) (2) (1) (0) A(2) A(1) A(0) resto port ( : in std_logic_vector(3 downto 0); A: out std_logic_vector(2 downto 0));... with select A <= 1-- when x 0, 000 when x 3, 111 when x 4, --1 when x B, --- when others;... b) en la entrada with select A <= 111 when 01--, /2006

13 don t care en la entrada y en la salida (3) (2) (1) (0) A(2) A(1) A(0) resto A <= 1-- when = x 0 else 000 when = x 3 else 111 when (3 downto 2) = 01 else --0 when (3) = 1 and (1 downto 0) = 00 else --1 when (3) = 1 and (0) = 1 else --- ; /2006

14 Descripción de un automata con No es posible describir un modelo de las sistemas, que requiere asignaciones dependientes del tiempo, con asignaciones concurrentes de las señales. Hay una propia clase de las instrucciones en : Las instrucciones secuenciales (sequential statements) stos se puede utilizar solamente en un proceso (process). n un proceso solamente se permiten las instrucciones secuenciales (hasta la asignación de la señal). l proceso es concurrente. Sintaxis: [<nombre del proceso>:] process [(<lista de la sensibilidad>)] -- parte de la declaración -- <instrucciones secuenciales> end process [<nombre del proceso>]; <nombre del proceso> marca el proceso aumenta la legibilidad de las listas del red y de los diagramas de la conexión y facilita eliminar errores (debugging) <lista de la sensibilidad> (sensitivity list) numeración de las señales, que son separados por comas. Se comienza el proceso, quando una de estas señales cambia! /2006

15 l Proceder con Automatas Reconocimiento de los impulsos: Quando D es semejante a 1 por dos periodos de clock, se da A = 1 pon 0/0 0 Z D/A 0/0 1/0 1/1 1 Z A D pon 0 Z0 0 0 Z Z /2006

16 entity IMPULSO_MALY is port ( CLK, NPON, D: in bit; A: out bit); end IMPULSO_MALY; architecture MALY of IMPULS_MALY is type STADOS is (Z0, Z1); signal ZPLUS, Z: STADOS; STADO: process (NPON, CLK) if NPON = 0 then Z <= Z0; elsif CLK event and CLK = 1 then Z <= ZPLUS; end if; end process STADO; TRANS_RD: process (Z, D) case Z is when Z0 => if D = 1 then ZPLUS <= Z1; end if; when Z1 => ZPLUS <= Z0; when others => null; end case; end process TRANS_RD; SALIDA_RD: process (Z, D) case Z is when Z0 => A <= 0 ; when Z1 => A <= D; when others => null; end case; end process SALIDA_RD; end MALY; /2006

17 entity IMPULSO_MOOR is port ( CLK, NPON, D: in bit; A: out bit); end IMPULSO_MOOR; architecture MOOR of IMPULSO_MOOR is type STADOS is (Z0, Z1, Z2); signal ZPLUS, Z: STADOS; STADO: process (NPON, CLK) if NPON = 0 then Z <= Z0; elsif CLK event and CLK = 1 then Z <= ZPLUS; end if; end process STADO; TRANS_RD: process (Z, D) case Z is when Z0 => if D = 1 then ZPLUS <= Z1; end if; when Z1 => if D = 1 then ZPLUS <= Z2; else ZPLUS <= Z0; end if; when Z2 => if D = 1 then ZPLUS <= Z1; else ZPLUS <= Z0; end if; when others => null; end case; end process TRANS_RD; /2006

18 SALIDA_RD: process (Z) case Z is when Z2 => A <= 1 ; when others => A <= 0 ; end case; end process SALIDA_RD; end MOOR; todos los cambios de los estados tienen lugar en el proceso STADO la lista de sensibilidad contiene NPON y CLK ZPLUS no es en la lista, porque no efectua ningun cambio de los estados NPON es ejecutado asyncrono antes del clock clock: CLK event and CLK = 1 para el flanco de levantamiento de CLK también: rising_edge(clk) señal de la salida Z: para NPON = 1 y para CLK ningun flanco de levantamiento Z tiene que quedar constante (Store!) if... then... else : instrucción secuencial procesos TRANS_RD y SALIDA_RD: contienen la red de la transición y la red de la salida entregan ZPLUS y A reaccionan por cambios de Z o D type STADOS is (Z0, Z1, Z2); definición de un tipo de datos STADOS con los valores Z0, Z1 y Z /2006

19 Todos los cambios de las señales se logran solamente en los finales de los procesos!... signal SIG: bit;... process (CLK) SIG <= 1 ;... if SIG = 1 then... else... end if; end process; hecho en el clock que viene! Valores variables durante de un proceso: variables: válido solamente en un proceso entregación con una señal... signal SIG: bit;... process (CLK) variable SIG_VAR: bit; SIG_VAR := 1 ;... if SIG_VAR = 1 then... else... end if; SIG <= SIG_VAR; end process; /2006

20 CTR 4 C 1,3, 4 + C 2 P M1 3CT=15 T C C T G 3 C P G 4 MR R D 0 1, 2D Q0 D1 Q 1 D 2 D3 Q2 Q 3 SN74161A Prof. Dr. F. Schubert Hochschule für Angewandte Wissenschaften Hamburg DGT /2006

21 SN74161A library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity SN74161A is generic ( BITS: natural := 4); port ( C, P, CT, CP, MR: in bit; D: in std_logic_vector (BITS-1 downto 0); TC: out bit; Q: out std_logic_vector (BITS-1 downto 0)); end SN74161A; architecture COMPORTAMINTO of SN74161A is signal QINT: std_logic_vector (BITS-1 downto 0); ZAHLN: process (C, MR) if MR = 0 then QINT <= (others => 0 ); elsif C event and C = 1 then if P = 0 then QINT <= D; elsif (CT = 1 and CP = 1 ) then QINT <= QINT + 1 ; end if; end if; end process ZAHLN; TC <= 1 when (QINT = 2**BITS-1 and CT = 1 ) else 0 ; Q <= QINT; end COMPORTAMINTO; /2006

22 xclusiv-or library ieee; use ieee.std_logic_1164.all; entity XOR is generic(td: TIM := 20 ns); port(x1, X2: in std_ulogic; Q1: out std_ulogic); end XOR; architecture COMPORTAMINTO of XOR is Q1 <= X1 xor X2 after TD; end COMPORTAMINTO; /2006

23 Convertidor del código: GRAY -> binario library ieee; use ieee.std_logic_1164.all; entity GRAYBIN is port(: in std_ulogic_vector(3 downto 0); Q: out std_ulogic_vector(3 downto 0)); end GRAYBIN; architecture STRUK_LL of GRAYBIN is signal QINT1, QINT2: std_ulogic; component XOR port(x1, X2: in std_ulogic; Q1: out std_ulogic); end component; C1: XOR port map (X1 => (3), X2 => (2), Q1 => QINT2); C2: XOR port map (X1 => QINT2, X2 => (1), Q1 => QINT1); C3: XOR port map (X1 => QINT1, X2 => (0), Q1 => Q(0)); Q(1) <= QINT1; Q(2) <= QINT2; Q(3) <= (3); end STRUK_LL; /2006

24 Convertidor del código: binario -> BCD library ieee; use ieee.std_logic_1164.all; entity BINBCD is port(bcd: in std_ulogic_vector(3 downto 0); HBYT: out std_ulogic_vector(3 downto 0); LBYT: out std_ulogic_vector(3 downto 0)); end BINBCD; architecture STRUK of BINBCD is with BCD select LBYT <= "0000" when "1010", "0001" when "1011", "0010" when "1100", "0011" when "1101", "0100" when "1110", "0101" when "1111", BCD when others; with BCD select HBYT <= "0001" when "1010" "1011" "1100" "1101" "1110" "1111", "0000" when others; end STRUK; /2006

25 Convertidor del código: GRAY -> BCD library ieee; use ieee.std_logic_1164.all; entity GRAYBCD is port(in: in std_ulogic_vector(3 downto 0); HBYT_OUT: out std_ulogic_vector(3 downto 0); LBYT_OUT: out std_ulogic_vector(3 downto 0)); end GRAYBCD; architecture STRUK_HL of GRAYBCD is signal INT: std_ulogic_vector(3 downto 0); component GRAYBIN port(: in std_ulogic_vector(3 downto 0); Q: out std_ulogic_vector(3 downto 0)); end component; component BINBCD port(bcd: in std_ulogic_vector(3 downto 0); HBYT: out std_ulogic_vector(3 downto 0); LBYT: out std_ulogic_vector(3 downto 0)); end component; C1: GRAYBIN port map ( => IN, Q => INT); C2: BINBCD port map (BCD => INT, HBYT => HBYT_OUT, LBYT => LBYT_OUT); end STRUK_HL; /2006

26

27 GRAYBCD GRAYBIN BINBCD IN(3:0) (3:0) XOR X2 Q1 X1 Q(3:0) INT BCD(3:0) HBYT(3:0) LBYT(3:0) HBYT_OUT(3:0) LBYT_OUT(3:0) XOR QINT1 X2 Q1 X1 XOR X2 Q1 X1 QINT /2001

INGENIERÍA DE COMPUTADORES 3. Solución al examen de Septiembre 2016

INGENIERÍA DE COMPUTADORES 3. Solución al examen de Septiembre 2016 Solución al examen de Septiembre 2016 PREGUNTA 1 (2 puntos) Tomando como base el siguiente código VHDL, dibuje el cronograma de evolución de las señales x1, x2, x3 y x4 entre los instantes 0 y 100 ns.

Más detalles

INGENIERÍA DE COMPUTADORES 3. Solución al examen de Junio 2013, Segunda Semana

INGENIERÍA DE COMPUTADORES 3. Solución al examen de Junio 2013, Segunda Semana Solución al examen de Junio 2013, Segunda Semana PREGUNTA 1 (2 puntos) Tomando como base el siguiente código VHDL, dibuje el cronograma de evolución de las señales x1, x2, x3, x4, x5 entre los instantes

Más detalles

INGENIERÍA DE COMPUTADORES 3. Solución al examen de Junio 2017, Primera Semana

INGENIERÍA DE COMPUTADORES 3. Solución al examen de Junio 2017, Primera Semana Solución al examen de Junio 2017, Primera Semana PREGUNTA 1 (2 puntos) Tomando como base el siguiente código VHDL, dibuje el cronograma de evolución de las señales x1, x2, x3, x4 y x5 entre los instantes

Más detalles

INGENIERÍA DE COMPUTADORES III. Solución al Ejercicio de Autocomprobación 4

INGENIERÍA DE COMPUTADORES III. Solución al Ejercicio de Autocomprobación 4 INGENIERÍA DE COMPUTADORES III Solución al Ejercicio de Autocomprobación 4 PREGUNTA (2 puntos) Tomando como base el siguiente código VHDL, dibuje el cronograma de evolución de las señales in, in2, s, s2,

Más detalles

SINTAXIS BÁSICA DEL VHDL SIMPLIFICADO

SINTAXIS BÁSICA DEL VHDL SIMPLIFICADO SINTAXIS BÁSICA DEL VHDL SIMPLIFICADO ENTIDAD: ARQUITECTURA: ENTITY IS PORT : : END ; = IN / OUT / IN OUT ARCHITECTURE

Más detalles

INGENIERÍA DE COMPUTADORES III. Solución al Ejercicio de Autocomprobación 8

INGENIERÍA DE COMPUTADORES III. Solución al Ejercicio de Autocomprobación 8 INGENIERÍA DE COMPUTADORES III Solución al Ejercicio de Autocomprobación 8 PREGUNTA 1 (3 puntos) Escriba en VHDL, de las formas que se detallan a continuación, la architecture que describe el comportamiento

Más detalles

PRACTICA 3. Lenguaje de descripción de hardware VHDL.

PRACTICA 3. Lenguaje de descripción de hardware VHDL. Lenguaje de descripción de hardware VHDL. Objetivo: El alumno analizara de que partes escenciales conta un codigo hecho atravez del lenguaje de descripcion de hardware VHDL, asi tambien que implica la

Más detalles

INGENIERÍA DE COMPUTADORES III. Solución al Ejercicio de Autocomprobación 3

INGENIERÍA DE COMPUTADORES III. Solución al Ejercicio de Autocomprobación 3 INGENIERÍA DE COMPUTADORES III Solución al Ejercicio de Autocomprobación 3 PREGUNTA (2 puntos).a) ( punto) Dibuje el diagrama conceptual correspondiente al fragmento de código Fragmento..b) ( punto) Dibuje

Más detalles

SISTEMAS DIGITALES VHDL

SISTEMAS DIGITALES VHDL SD SISTEMAS DIGITALES VHDL Fredy Hernán Riascos Campiño Practica 1a: Implementación de un incrementador: Se he de implementar un modulo incrementador (INC), Este modulo no es mas que un sumador y un registro

Más detalles

LABORATORIO DE CIRCUITOS DIGITALES (2005-II) SEGUNDA CLASE DE VHDL

LABORATORIO DE CIRCUITOS DIGITALES (2005-II) SEGUNDA CLASE DE VHDL LABORATORIO DE CIRCUITOS DIGITALES (25-II) SEGUNDA CLASE DE VHDL TIPOS y MODOS DE DATOS DESCRIPCIÓN CONCURRENTE Sentencias de asignación: with select, when - else DESCRIPCIÓN COMPORTAMENTAL Procesos asíncronos

Más detalles

PRÁCTICA: LENGUAJE VHDL

PRÁCTICA: LENGUAJE VHDL PRÁCTICA: LENGUAJE Introducción Los lenguajes permiten manejar mejor grandes tamaños Los lenguajes son más flexibles que las tablas Los lenguajes son légibles por las máquinas más fácilmente que los gráficos

Más detalles

Código concurrente en VHDL [5]

Código concurrente en VHDL [5] Código concurrente en VHDL [5] Lab. Sistemas Digitales Universidad Técnica Particular de Loja Prof: Diego Barragán Guerrero Oct. 2014 - Feb. 2015 Lab. Sistemas Digitales (UTPL) IET Oct. 2014 - Feb. 2015

Más detalles

INGENIERÍA DE COMPUTADORES 3. Solución al examen de Junio 2014, Primera Semana

INGENIERÍA DE COMPUTADORES 3. Solución al examen de Junio 2014, Primera Semana Solución al examen de Junio 2014, Primera Semana PREGUNTA 1 (2 puntos) Tomando como base el siguiente código VHDL, dibuje el cronograma de evolución de las señales x1, x2, x3, x4, x5 entre los instantes

Más detalles

VHDL: Código Secuencial. Arquitectura del Computador 2017

VHDL: Código Secuencial. Arquitectura del Computador 2017 VHDL: Código Secuencial Arquitectura del Computador 2017 PROCESSES, FUNCTIONS y PROCEDURES Solo se ejecuta secuencialmente el código que se encuentra dentro de PROCESSES, FUNCTIONS o PROCEDURES. Cualquiera

Más detalles

VHDL. VHDL: VHSIC Hardware Description Language VHSIC: Very High Speed Integrated Circuits

VHDL. VHDL: VHSIC Hardware Description Language VHSIC: Very High Speed Integrated Circuits VHDL VHDL: VHSIC Hardware Description Language VHSIC: Very High Speed Integrated Circuits VHDL es un lenguaje de descripción de hardware Es útil para la síntesis y verificación de circuitos digitales Historia

Más detalles

INGENIERÍA DE COMPUTADORES III. Solución al Ejercicio de Autocomprobación 10

INGENIERÍA DE COMPUTADORES III. Solución al Ejercicio de Autocomprobación 10 INGENIERÍA DE COMPUTADORES III Solución al Ejercicio de Autocomprobación 10 PREGUNTA 1 (1.5 puntos) Dibuje el diagrama conceptual correspondiente a: 1.a) (0.75 puntos) Las sentencias if anidadas siguientes:

Más detalles

INGENIERÍA DE COMPUTADORES III. Solución al examen de Septiembre 2014

INGENIERÍA DE COMPUTADORES III. Solución al examen de Septiembre 2014 Solución al examen de Septiembre 2014 PREGUNTA 1 (2 puntos) Tomando como base el siguiente código VHDL, dibuje el cronograma de evolución de las señales x1, x2, x3, temp4, temp5, temp6 y x4 entre los instantes

Más detalles

Tema 3 - Modelado con HDL a nivel RTL

Tema 3 - Modelado con HDL a nivel RTL - Modelado con HDL a nivel RTL Eduardo Rodríguez Martínez Departamento de Electrónica División de Ciencias Básicas e Ingeniería Universidad Autónoma Metropolitana Unidad Azcapotzalco Email: erm@correo.azc.uam.mx

Más detalles

LENGUAJE VHDL. Ing. Wilmer Naranjo 1

LENGUAJE VHDL. Ing. Wilmer Naranjo 1 LENGUAJE VHDL Ing. Wilmer Naranjo 1 DESCRIPCIÓN EN VHDL Al inicio de los 80 s diversos grupos de investigadores empiezan a crear y desarrollar los llamados "lenguajes de descripción de hardware" cada uno

Más detalles

VII. Múltiples Procesos en una Arquitectura. F. Santiago E.

VII. Múltiples Procesos en una Arquitectura. F. Santiago E. VII. Múltiples Procesos en una Arquitectura 1 Introducción El Mundo No es Secuencial. Todos los vehículos se mueven al mismo tiempo, es decir, concurrentemente. Cada vehículo es un sistema, se tienen sistemas

Más detalles

Electrónica Digital Departamento de Electrónica VHDL. Bioingeniería Facultad de Ingeniería - UNER

Electrónica Digital Departamento de Electrónica VHDL. Bioingeniería Facultad de Ingeniería - UNER Electrónica Digital Departamento de Electrónica VHDL Bioingeniería Facultad de Ingeniería - UNER VHDL VHSIC Hardware Design Language VHSIC Very High Speed Integrated Circuits Verilog Handel C Celóxica

Más detalles

4.3. Lenguaje VHDL Declaración de Packages

4.3. Lenguaje VHDL Declaración de Packages 4.3. Lenguaje VHDL El lenguaje VHDL (Very High Speed Integrates Circuit Hardware Description Language) es un lenguaje estándar utilizado para la descripción de los sistemas digitales. En este apartado

Más detalles

VHDL Y FPGA LENGUAJE VHDL

VHDL Y FPGA LENGUAJE VHDL VHDL Y FPGA LENGUAJE VHDL VHDL es un lenguaje de alto nivel que describe todas las características de circuitos electrónicos digitales de variada complejidad. El significado de las siglas VHDL es V de

Más detalles

Introducción al VHDL. VHDL orientado a la síntesis de circuitos en Dispositivo Lógicos Programables

Introducción al VHDL. VHDL orientado a la síntesis de circuitos en Dispositivo Lógicos Programables Introducción al VHDL VHDL orientado a la síntesis de circuitos en Dispositivo Lógicos Programables Introducción Lenguaje de descripción de dispositivos Hardware. Diferencias con lenguajes de programación:

Más detalles

INGENIERÍA DE COMPUTADORES III. Solución al Ejercicio de Autocomprobación 1

INGENIERÍA DE COMPUTADORES III. Solución al Ejercicio de Autocomprobación 1 INGENIERÍA DE COMPUTADORES III Solución al Ejercicio de Autocomprobación PREGUNTA (3 puntos) Escriba en VHDL la architecture que describe el comportamiento de un contador síncrono ascendente módulo 4 en

Más detalles

INGENIERÍA DE COMPUTADORES 3. Solución al examen de Junio 2015, Segunda Semana

INGENIERÍA DE COMPUTADORES 3. Solución al examen de Junio 2015, Segunda Semana Solución al examen de Junio 2015, Segunda Semana PREGUNTA 1 (2 puntos) Tomando como base el siguiente código VHDL, dibuje el cronograma de evolución de las señales x1, x2, x3, x4 entre los instantes 0

Más detalles

VHDL. Lenguaje de descripción hardware

VHDL. Lenguaje de descripción hardware VHDL. Lenguaje de descripción hardware Modelado combinacional 26 A.G.O. All Rights Reserved Modelado combinacional El modelado de sistemas combinacionales es muy sencillo, puesto que en todo momento las

Más detalles

Operadores y atributos en VHDL [1]

Operadores y atributos en VHDL [1] Operadores y atributos en VHDL [1] Sistemas Digitales Avanzados Universidad Técnica Particular de Loja Prof: Diego Barragán Guerrero Oct. 2014 - Feb. 2015 Sistemas Digitales Avanzados (UTPL) IET Oct. 2014

Más detalles

Julio. [ Programación en VHDL ] Guía rápida. [ h t t p : / / w w w. o p e n b o x e r m b. c o m / a s i g n a t u r a s / d s d.

Julio. [ Programación en VHDL ] Guía rápida. [ h t t p : / / w w w. o p e n b o x e r m b. c o m / a s i g n a t u r a s / d s d. Julio 09 [ Programación en VHDL ] Guía rápida [ h t t p : / / w w w. o p e n b o x e r. 2 6 0 m b. c o m / a s i g n a t u r a s / d s d. h t m l ] Programación en VHDL Guia rapida [ INDICE ] I. Programación

Más detalles

INGENIERÍA DE COMPUTADORES III. Solución al Ejercicio de Autocomprobación 5

INGENIERÍA DE COMPUTADORES III. Solución al Ejercicio de Autocomprobación 5 INGENIERÍA DE COMPUTADORES III Solución al Ejercicio de Autocomprobación 5 PREGUNTA 1 (2 puntos) Tomando como base el siguiente código VHDL, dibuje el cronograma de evolución de las señales x, z1 y z2

Más detalles

Recursos y Metodologías. Función. Programas

Recursos y Metodologías. Función. Programas Recursos y Metodologías Sistema Digital Estructura { + Función Descripción Datos R. Cómputo R. Almacenamiento R. Conexionado { Comandos Implementación Full-custom Semi-custom Gate Arrays Programas Lenguaje

Más detalles

VHDL. Lenguaje de descripción hardware Estructura Básica de diseño

VHDL. Lenguaje de descripción hardware Estructura Básica de diseño VHDL. Lenguaje de descripción hardware Estructura Básica de diseño 2007 A.G.O. All Rights Reserved Estructura de un diseño en VHDL LIBRARY declaraciones VHDL estructura básica ENTITY caja negra ARCHITECTURE

Más detalles

Tema 2. Funciones Lógicas. Algebra de Conmutación. Representación de circuitos digitales. Minimización de funciones lógicas.

Tema 2. Funciones Lógicas. Algebra de Conmutación. Representación de circuitos digitales. Minimización de funciones lógicas. Tema 2. Funciones Lógicas Algebra de Conmutación. Representación de circuitos digitales. Minimización de funciones lógicas. Representación de Circuitos Digitales Representación esquemática. Representación

Más detalles

VHDL. Laboratorio de Arquitectura de Computadores. Curso I. T. Informática de Gestión I. T. Informática de Sistemas

VHDL. Laboratorio de Arquitectura de Computadores. Curso I. T. Informática de Gestión I. T. Informática de Sistemas Laboratorio de Arquitectura de Computadores I. T. Informática de Gestión I. T. Informática de Sistemas Curso 2006-2007 Transparencia: 2 / 50 Índice 1. Conceptos básicos 2. Modelos de Hardware 3. Unidades

Más detalles

DISEÑO DE CIRCUITOS SECUENCIALES

DISEÑO DE CIRCUITOS SECUENCIALES Sistemas Electrónicos y Automáticos PRÁCTICA 3 DISEÑO DE CIRCUITOS SECUENCIALES 1. OBJETIVO DE LA PRÁCTICA. El objetivo de la presente práctica consiste en modelar el comportamiento de un sistema secuencial

Más detalles

Sistemas Digitales - Examen temas 1, 2 y 3 - (6 de Abril 2016)

Sistemas Digitales - Examen temas 1, 2 y 3 - (6 de Abril 2016) EXAMEN RESUELTO Problema-. Modelo-B (Calificación puntos) Se quiere diseñar un circuito digital, tal que, dado un número en código octal de una sola cifra en su entrada, este circuito: ) Indique, si el

Más detalles

Sistemas Electrónicos Digitales Curso de adaptación al Grado

Sistemas Electrónicos Digitales Curso de adaptación al Grado Práctica Práctica 2 Sistemas Electrónicos Digitales Curso de adaptación al Grado Sistemas combinacionales con VHDL Universidad de Alicante Ángel Grediaga 2 Índice INTRODUCCIÓN... 3 2 CIRCUITOS COMBINACIONALES...

Más detalles

INTRODUCCIÓN AL LENGUAJE VHDL PARA CIRCUITOS

INTRODUCCIÓN AL LENGUAJE VHDL PARA CIRCUITOS INTRODUCCIÓN AL LENGUAJE VHDL PARA CIRCUITOS COMBINACIONALES UNIDAD VI Diseño Digital HLD Opción de diseño para sistemas electrónicos elaborados. Integrar más dispositivos en un circuito integrado. VHDL

Más detalles

Sistemas Digitales - Examen temas 1, 2 y 3 - (6 de Abril 2016)

Sistemas Digitales - Examen temas 1, 2 y 3 - (6 de Abril 2016) Sistemas Digitales - Examen temas, 2 y 3 - (6 de Abril 206) EXAMEN RESUELTO Problema-. Modelo-A (Calificación 0 puntos) Se quiere diseñar un circuito digital, tal que, dado un número en código octal de

Más detalles

MAQUINA DE ESTADO FINITO (FSM) Autómata finito

MAQUINA DE ESTADO FINITO (FSM) Autómata finito MAQUINA DE ESTADO FINITO (FSM) Autómata finito Modelo de Mealy E Lógica del próximo estado Q t+1 M E M O R I A Q t Lógica de salida S Ck Q t+1 = f (E, Q t ) S = g (E, Q t ) Modelo de Moore E Lógica del

Más detalles

Netlist: conjunto de instrucciones que indican el interconexionado entre los componentes de un diseño (lista de conexiones).

Netlist: conjunto de instrucciones que indican el interconexionado entre los componentes de un diseño (lista de conexiones). Lenguajes de Descripción de Hardware Netlist: conjunto de instrucciones que indican el interconexionado entre los componentes de un diseño (lista de conexiones). HDL: Estos lenguajes permitieron solucionar

Más detalles

Tema 2. Funciones Lógicas. Algebra de Conmutación. Minimización de funciones Lógicas. Introducción al VHDL.

Tema 2. Funciones Lógicas. Algebra de Conmutación. Minimización de funciones Lógicas. Introducción al VHDL. Tema 2. Funciones Lógicas Algebra de Conmutación. Minimización de funciones Lógicas. Introducción al VHDL. Introducción al VHDL Definición de las estructuras básicas. Entidades: genéricos y puertos. Tipos

Más detalles

MAQUINA DE ESTADO FINITO (FSM) Autómata finito

MAQUINA DE ESTADO FINITO (FSM) Autómata finito MAQUINA DE ESTADO FINITO (FSM) Autómata finito Modelo de Mealy E Lógica del próximo estado Q t+1 M E M O R I A Q t Lógica de salida S Ck Q t+1 = f (E, Q t ) S = g (E, Q t ) Modelo de Moore E Lógica del

Más detalles

Diseño Lógico I Facultad de Ciencias Exactas y Tecnología UNT. LENGUAJES DE DESCRIPCIÓN DE HARDWARE

Diseño Lógico I Facultad de Ciencias Exactas y Tecnología UNT. LENGUAJES DE DESCRIPCIÓN DE HARDWARE LENGUAJES DE DESCRIPCIÓN DE HARDWARE METODOS PARA DESCRIBIR CIRCUITOS LÓGICOS Descripción verbal Tablas de verdad Diagrama esquemático Expresiones del álgebra booleana Diagrama de tiempos Lenguajes de

Más detalles

Diseño de Sistemas Electrónicos Digitales Avanzados

Diseño de Sistemas Electrónicos Digitales Avanzados Práctica 1 Práctica Diseño de Sistemas Electrónicos Digitales Avanzados Sistemas combinacionales con VHDL Universidad de Alicante Ángel Grediaga Índice 1 Introducción... 3 Circuitos combinacionales...

Más detalles

INGENIERÍA DE COMPUTADORES III. Solución al examen de Junio 2012, Segunda Semana

INGENIERÍA DE COMPUTADORES III. Solución al examen de Junio 2012, Segunda Semana Solución al examen de Junio 2012, Segunda Semana PREGUNTA 1 (2 puntos) Tomando como base el siguiente código VHDL, dibuje el cronograma de evolución de las señalesx1,x2,x3,x4,x5 entre los instantes 0 y

Más detalles

INGENIERÍA DE COMPUTADORES III. Solución al Ejercicio de Autocomprobación 6

INGENIERÍA DE COMPUTADORES III. Solución al Ejercicio de Autocomprobación 6 INGENIERÍA DE COMPUTADORES III Solución al Ejercicio de Autocomprobación 6 PREGUNTA 1 (2 puntos) Tomando como base el siguiente código VHDL, dibuje el cronograma de evolución de las señales x, z1,z2 y

Más detalles

4. SUMADORES EN BINARIO PURO (I)

4. SUMADORES EN BINARIO PURO (I) TEMA 3: SISTEMAS ARITMÉTICOS Introducción y objetivos (3). Representación y codificación de la información (4-7) 2. Sistemas numéricos posicionales. Binario, hexadecimal, octal, y BCD. (8-33) 3. Números

Más detalles

Introducción a VHDL. Por: Carlos A. Fajardo UIS - Sistemas Digitales

Introducción a VHDL. Por: Carlos A. Fajardo UIS - Sistemas Digitales Introducción a VHDL Por: Carlos A. Fajardo cafajar@uis.edu.co Lenguajes de Descripción de Hardware Netlist: conjunto de instrucciones que indican el interconexionado entre los componentes de un diseño

Más detalles

UNIVERSIDAD CARLOS III DE MADRID. Ejercicios de VHDL. Circuitos Integrados y Microelectrónica. Luis Entrena. Celia López.

UNIVERSIDAD CARLOS III DE MADRID. Ejercicios de VHDL. Circuitos Integrados y Microelectrónica. Luis Entrena. Celia López. UNIVERSIDAD CARLOS III DE MADRID Ejercicios de VHDL Circuitos Integrados y Microelectrónica Luis Entrena Celia López Mario García Enrique San Millán Marta Portela Almudena Lindoso Problema 1 Se pretende

Más detalles

INGENIERÍA DE COMPUTADORES 3. Solución al Trabajo Práctico - Junio de 2017

INGENIERÍA DE COMPUTADORES 3. Solución al Trabajo Práctico - Junio de 2017 Solución al Trabajo Práctico - Junio de 2017 EJERCICIO 1 Se desea diseñar un circuito digital que implemente las funciones F y G cuya tabla de verdad se muestra a continuación, que dependen de las tres

Más detalles

INGENIERÍA DE COMPUTADORES 3. Solución al Trabajo Práctico - Septiembre de 2016

INGENIERÍA DE COMPUTADORES 3. Solución al Trabajo Práctico - Septiembre de 2016 Solución al Trabajo Práctico - Septiembre de 2016 EJERCICIO 1 Se desea diseñar un circuito digital que implemente la función F cuya tabla de verdad se muestra a continuación, que depende de las tres variables

Más detalles

Tipos de datos en VHDL

Tipos de datos en VHDL Tipos de datos en VHDL Sistemas Digitales Avanzados Universidad Técnica Particular de Loja Prof: Diego Barragán Guerrero Oct. 2014 - Feb. 2015 Sistemas Digitales Avanzados (UTPL) IET Oct. 2014 - Feb. 2015

Más detalles

ELEMENTOS Y TIPOS DE DATOS

ELEMENTOS Y TIPOS DE DATOS 1 ELEMENTOS Y TIPOS DE DATOS ELEMENTOS Y TIPOS DE DATOS Elementos Tipos de datos Librerías Std_logic y Std_logic_vector 2 ELEMENTOS Y TIPOS DE DATOS ELEMENTOS Elementos del VHDL: Comentarios Palabras reservadas.

Más detalles

El código concurrente esta destinado únicamente para el diseño de circuitos combinacionales.

El código concurrente esta destinado únicamente para el diseño de circuitos combinacionales. Código Concurrente Código Concurrente El código VHDL puede ser concurrente o secuencial. Únicamente las sentencias colocadas dentro de un PROCESS, FUNCTION o PROCEDURE (los últimos dos son llamados subprogramas)

Más detalles

INGENIERÍA DE COMPUTADORES 3. Solución al examen de Junio 2014, Segunda Semana

INGENIERÍA DE COMPUTADORES 3. Solución al examen de Junio 2014, Segunda Semana Solución al examen de Junio 2014, Segunda Semana PREGUNTA 1 (2 puntos) Tomando como base el siguiente código VHDL, dibuje el cronograma de evolución de las señales x1, x2, x3, x4, x5 entre los instantes

Más detalles

DE INGENIERÍA TÉCNICA INDUSTRIAL. ESPECIALIDAD EN ELECTRÓNICA INDUSTRIAL

DE INGENIERÍA TÉCNICA INDUSTRIAL. ESPECIALIDAD EN ELECTRÓNICA INDUSTRIAL EJERCICIOS DE SISTEMAS ELECTRÓNICOS DIGITALES: HOJA 2 2 o CURSO DE INGENIERÍA TÉCNICA INDUSTRIAL. ESPECIALIDAD EN ELECTRÓNICA INDUSTRIAL LENGUAJES DE ALTO NIVEL 1) Realiza en RTL un comparador de dos buses

Más detalles

Ejemplos y tipos de datos 4.1 Ejemplos simples 4.2 Definición de nuevos tipos de datos 4.3 Ejemplos de máquinas de estados 4.

Ejemplos y tipos de datos 4.1 Ejemplos simples 4.2 Definición de nuevos tipos de datos 4.3 Ejemplos de máquinas de estados 4. Tema 4: Ejemplos y tipos de datos 4.1 Ejemplos simples 4.2 Definición de nuevos tipos de datos 4.3 Ejemplos de máquinas de estados 4.4 Atributos Tema 4: Ejemplos y tipos de datos 4.1 Ejemplos simples 4.2

Más detalles

Sesión 1: Introducción al lenguaje VHDL. S1 1 Laboratorio de Estructura de Computadores Curso 04 / 05

Sesión 1: Introducción al lenguaje VHDL. S1 1 Laboratorio de Estructura de Computadores Curso 04 / 05 Sesión 1: Introducción al lenguaje VHDL S1 1 AREAS DE APLICACION DE VHDL Síntesis i1 suma Documentación Diagnosis de Fallos i1 i2 - Circuito semisumador Componentes: puerta AND puerta OR puerta XOR......

Más detalles

UNIVERSIDAD DE SEVILLA Dpto. de Ingeniería Electrónica. Introducción a los lenguajes HDL y conceptos. Octubre de 2007

UNIVERSIDAD DE SEVILLA Dpto. de Ingeniería Electrónica. Introducción a los lenguajes HDL y conceptos. Octubre de 2007 UNIVERSIDAD DE SEVILLA Dpto. de Ingeniería Electrónica AREA DE TECNOLOGIA ELECTRONICA VHDL Introducción al lenguaje VHDL Introducción a los lenguajes HDL y conceptos básicos de VHDL Octubre de 2007 Tema

Más detalles

VHDL. Very. High. speed integrated circuit Hardware. Description. Language. Digital II Ingeniería Electrónica. Rosa Corti

VHDL. Very. High. speed integrated circuit Hardware. Description. Language. Digital II Ingeniería Electrónica. Rosa Corti VHDL Very High speed integrated circuit Hardware Description Language Digital II Ingeniería Electrónica Rosa Corti Contenido Dispositivos FPGA Lenguaje VHDL: Evolución y características Unidades de diseño

Más detalles

INGENIERÍA DE COMPUTADORES III. Solución al examen de Septiembre 2012

INGENIERÍA DE COMPUTADORES III. Solución al examen de Septiembre 2012 Solución al examen de Septiembre 212 PREGUNTA 1 (2 puntos) 1.a) (1 punto) Dibuje el diagrama conceptual correspondiente al fragmento de código Fragmento 1. 1.b) (1 punto) Dibuje el diagrama conceptual

Más detalles

VHDL. Carlos Andrés Luna Vázquez. Lección 5. Sentencias concurrentes

VHDL. Carlos Andrés Luna Vázquez. Lección 5. Sentencias concurrentes VHDL Carlos Andrés Luna Vázquez Lección 5 Sentencias concurrentes Índice Introducción Niveles de abstracción del modelado con HDL Estilos descriptivos del modelado con HDL Ventajas y limitaciones de los

Más detalles

5. Decodificadores. Salida _1= A A A A = m = M ... Electrónica Digital. Tema

5. Decodificadores. Salida _1= A A A A = m = M ... Electrónica Digital. Tema 5. Decodificadores La función de un decodificador es la siguiente: ante una combinación concreta binaria de entrada (correspondiente a una combinación de algún código binario), activar una salida correspondiente

Más detalles

PARTE I. TÉCNICAS DE DISEÑO ELECTRÓNICO MEDIANTE LENGUAJES DE DESCRIPCIÓN HARDWARE

PARTE I. TÉCNICAS DE DISEÑO ELECTRÓNICO MEDIANTE LENGUAJES DE DESCRIPCIÓN HARDWARE PARTE I. TÉCNICAS DE DISEÑO ELECTRÓNICO MEDIANTE LENGUAJES DE DESCRIPCIÓN HARDWARE TEMA 3. Modelos de sistemas con VHDL Curso 05/06 1 TEMA 3. Modelos de sistemas digitales 3.1 Sistemas combinacionales

Más detalles

Objetos de VHDL. Un objeto en VHDL es un elemento que contiene un valor de tipo específico de dato

Objetos de VHDL. Un objeto en VHDL es un elemento que contiene un valor de tipo específico de dato Objetos de VHDL Un objeto en VHDL es un elemento que contiene un valor de tipo específico de dato Objetos que se pueden manipular en VHDL y sus tipos -3 clases principales de objetos: SEÑALES: similares

Más detalles

DISEÑODE SISTEMAS ELECTRÓNICOS DIGITALES AVANZADOS.

DISEÑODE SISTEMAS ELECTRÓNICOS DIGITALES AVANZADOS. Práctica 3 DISEÑODE SISTEMAS ELECTRÓNICOS DIGITALES AVANZADOS. Descripción VHDL de sistemas secuenciales Ángel Grediaga Olivo Universidad Alicante 1 Práctica 3 Descripción de registros y memorias Objetivos

Más detalles

Practica No. 5 Diseño de un Multiplicador

Practica No. 5 Diseño de un Multiplicador Practica No. 5 Diseño de un Multiplicador Objetivo: Diseñar un módulo de multiplicación utilizando diferentes métodos, entender las ventajas y desventajas de cada uno de ellos. Aprender a usar procesos

Más detalles

Objetos de VHDL.! Un objeto en VHDL es un elemento que contiene. un valor de tipo específico de dato

Objetos de VHDL.! Un objeto en VHDL es un elemento que contiene. un valor de tipo específico de dato Objetos de VHDL! Un objeto en VHDL es un elemento que contiene un valor de tipo específico de dato Objetos que se pueden manipular en VHDL y sus tipos -3 clases principales de objetos: SEÑALES: similares

Más detalles

VHDL: Tipos de datos. Arquitectura del Computador 2017

VHDL: Tipos de datos. Arquitectura del Computador 2017 VHDL: Tipos de datos Arquitectura del Computador 2017 Paquetes y librerías Package standard de library std: Define BIT, BOOLEAN, INTEGER y REAL. Package std_logic_1164 de library ieee: Define STD_LOGIC

Más detalles

INFORMÁTICA INDUSTRIAL. 3º INGENIERÍA TÉCNICA INDUSTRIAL. ESPECIALIDAD ELECTRÓNICA BOLETÍN 2. CURSO 2003/04

INFORMÁTICA INDUSTRIAL. 3º INGENIERÍA TÉCNICA INDUSTRIAL. ESPECIALIDAD ELECTRÓNICA BOLETÍN 2. CURSO 2003/04 INFORMÁTICA INDUSTRIAL. 3º INGENIERÍA TÉCNICA INDUSTRIAL. ESPECIALIDAD ELECTRÓNICA BOLETÍN 2. CURSO 2003/04 1. Dado un decodificador de dos entradas (DEC 2:4), dar la descripción estructural, la descripción

Más detalles

INGENIERÍA DE COMPUTADORES III. Solución al examen de Junio 2012, Primera Semana

INGENIERÍA DE COMPUTADORES III. Solución al examen de Junio 2012, Primera Semana Solución al examen de Junio 2012, Primera Semana PREGUNTA 1 (2 puntos) Tomando como base el siguiente código VHDL, dibuje el cronograma de evolución de las señalesx1,x2,x3,s,yentre los instantes 0 y 50

Más detalles

CONTADORES. Definición. Diseño y analisis de un contador binario hacia arriba de 3 bits con flip-flops JK. Otros contadores típicos.

CONTADORES. Definición. Diseño y analisis de un contador binario hacia arriba de 3 bits con flip-flops JK. Otros contadores típicos. CONTADORES Definición Diseño y analisis de un contador binario hacia arriba de 3 bits con flip-flops JK. Otros contadores típicos Descripción VHDL Ejercicios Definición Un contador es un circuito digital

Más detalles

Comparador de 1 bit Tabla de verdad y circuito lógico A B A > B A = B A < B

Comparador de 1 bit Tabla de verdad y circuito lógico A B A > B A = B A < B Comparadores Índice Descripción Comparador de 1 bit Tabla de verdad Circuito lógico Comparador de N bits Circuito comercial 74LS85 Tabla de verdad Circuito lógico Comparador 8 bits serie Comparador 16

Más detalles

Practica No. 5 Diseño de un Multiplicador

Practica No. 5 Diseño de un Multiplicador Practica No. 5 Diseño de un Multiplicador Objetivo: Diseñar un módulo de multiplicación utilizando diferentes métodos, entender las ventajas y desventajas de cada uno de ellos. Aprender a usar procesos

Más detalles

Introducción a VHDL. Por: Carlos A. Fajardo

Introducción a VHDL. Por: Carlos A. Fajardo Introducción a VHDL Por: Carlos A. Fajardo cafajar@uis.edu.co Actualizado 11/11/2015 Lenguajes de Descripción de Hardware Netlist: conjunto de instrucciones que indican el interconexionado entre los componentes

Más detalles

PLANTILLA. [t], Maximino Peña Guerrero,

PLANTILLA. [t], Maximino Peña Guerrero, PLANTILLA Instrucciones secuenciales: CASE CASE ejecuta una o varias secuencias de instrucciones que dependen del valor de una sola expresión. SINTAXIS case expression is when choices => {sequential_statement}

Más detalles

MICROPROCESADOR (CÓDIGO EN VHDL) TOMADO DEL LIBRO PARDO Y BOLUDA

MICROPROCESADOR (CÓDIGO EN VHDL) TOMADO DEL LIBRO PARDO Y BOLUDA MICROPROCESADOR (CÓDIGO EN VHDL) TOMADO DEL LIBRO PARDO Y BOLUDA LIBRARY ieee; USE ieee.std_logic_1164.all; ENTITY procesador IS PORT(clk,rst: IN std_logic; r_w: OUT std_logic; dir: OUT std_logic_vector(7

Más detalles

PRACTICA 6: CIRCUITOS ARITMETICOS: SUMADORES Y RESTADORES.

PRACTICA 6: CIRCUITOS ARITMETICOS: SUMADORES Y RESTADORES. PRACTICA 6: CIRCUITOS ARITMETICOS: SUMADORES Y RESTADORES. Sumadores básicos: Los sumadores son muy importantes no solamente en las computadoras, sino en muchos pos de sistemas digitales en los que se

Más detalles

Prefacio 25 Organización de la Unidad Didáctica Cómo utilizar el libro Objetivos docentes... 27

Prefacio 25 Organización de la Unidad Didáctica Cómo utilizar el libro Objetivos docentes... 27 ÍNDICE Prefacio 25 Organización de la Unidad Didáctica..................... 25 Cómo utilizar el libro.............................. 26 Objetivos docentes............................... 27 1. Fundamentos

Más detalles

PRUEBA DE ENTRADA E P3 P2

PRUEBA DE ENTRADA E P3 P2 PONTIFICIA UNIVRSIDAD CATÓLICA DL PRÚ STUDIOS GNRALS CINCIAS DANIL LLAMOCCA PRUBA D NTRADA NOMBR : FCHA: / /5 CÓDIGO : LAB. Nº: HORARIO: H-44. Complete el diagrama de tiempos del siguiente circuito (determine

Más detalles

INGENIERÍA DE COMPUTADORES III. Solución al examen de Septiembre 2013

INGENIERÍA DE COMPUTADORES III. Solución al examen de Septiembre 2013 Solución al examen de Septiembre 2013 PREGUNTA 1 (2 puntos) Tomando como base el siguiente código VHDL, dibuje el cronograma de evolución de las señales clk, x, a, b, c e y entre los instantes 0 y 1000

Más detalles

Tema 7. Análisis de Circuitos Secuenciales

Tema 7. Análisis de Circuitos Secuenciales Tema 7. Análisis de Circuitos Secuenciales Máquinas de estado finito (FSM). Análisis de circuitos secuenciales síncronos. Introducción al diseño secuenciales síncronos. de circuitos Contadores. Registros

Más detalles

INFORMÁTICA INDUSTRIAL. 3º INGENIERÍA TÉCNICA ELECTRÓNICA TRABAJO TUTORADO DE VHDL. Primer Cuatrimestre Curso 2005/2006.

INFORMÁTICA INDUSTRIAL. 3º INGENIERÍA TÉCNICA ELECTRÓNICA TRABAJO TUTORADO DE VHDL. Primer Cuatrimestre Curso 2005/2006. INFORMÁTICA INDUSTRIAL. 3º INGENIERÍA TÉCNICA ELECTRÓNICA TRABAJO TUTORADO DE VHDL. Primer Cuatrimestre Curso 2005/2006. OBJETIVOS: Con este proyecto se pretende que el alumno practique y afiance los conocimientos

Más detalles

Práctica I Modelado y simulación de una máquina expendedora de refrescos

Práctica I Modelado y simulación de una máquina expendedora de refrescos Práctica I Modelado y simulación de una máquina expendedora de refrescos Departamento de Ingeniería Electrónica de Sistemas Informáticos y Automática 2 1.1. Objetivos. En esta práctica vamos a modelar

Más detalles

Practicas tuteladas VHDL (curso 04-05)

Practicas tuteladas VHDL (curso 04-05) Practicas tuteladas VHDL (curso 4-5) Tecnología de computadores. Prácticas de VHDL (Curso 3/4) PRÁCTICA-2: ATRIBUTOS DE SEÑALES ELEMENTOS DE MEMORIA Y REGISTROS OBJETIVOS: Utilizar el paquete de datos

Más detalles

INGENIERÍA DE COMPUTADORES 3. Solución al Trabajo Práctico - Junio de 2014

INGENIERÍA DE COMPUTADORES 3. Solución al Trabajo Práctico - Junio de 2014 Solución al Trabajo Práctico - Junio de 2014 EJERCICIO 1 En la Figura 1.1 se muestra el símbolo lógico de un circuito digital cuya función es contabilizar el número de señales de entrada que tienen valor

Más detalles

DISEÑO DE PROCESADORES DEDICADOS. Práctica 6 LCD de Propósito General

DISEÑO DE PROCESADORES DEDICADOS. Práctica 6 LCD de Propósito General DISEÑO DE PROCESADORES DEDICADOS Instituto Politécnico Nacional Práctica 6 LCD de Propósito General Campo 1: Datos Personales. Centro de Innovación y Desarrollo Tecnológico en Cómputo CIDETEC Mayo 2015

Más detalles

GUÍA PRÁCTICA DEL SOFTWARE ACTIVE-HDL.

GUÍA PRÁCTICA DEL SOFTWARE ACTIVE-HDL. 24/9/2013 ÁREA DE TECNOLOGÍA ELECTRÓNICA UBU GUÍA PRÁCTICA DEL SOFTWARE ACTIVE-HDL. Manual de Inicio Miguel Ángel Lozano Pérez Contenido 1.1 GUÍA DE SOFTWARE... 3 1.1.1 Primer paso: crear un diseño nuevo...

Más detalles

Titulación: Ingeniería Informática Asignatura: Fundamentos de Computadores. Bloque 3: Sistemas secuenciales Tema 9: Módulos secuenciales básicos

Titulación: Ingeniería Informática Asignatura: Fundamentos de Computadores. Bloque 3: Sistemas secuenciales Tema 9: Módulos secuenciales básicos Titulación: Ingeniería Informática Asignatura: Fundamentos de Computadores Bloque 3: Sistemas secuenciales Tema 9: Módulos secuenciales básicos Pablo Huerta Pellitero Luis Rincón Córcoles ÍNDICE Bibliografía

Más detalles

VHDL. Carlos Andrés Luna Vázquez. Lección 10 Problemas

VHDL. Carlos Andrés Luna Vázquez. Lección 10 Problemas Carlos Andrés Luna Vázquez Lección 10 Problemas 1 Índice Introducción Niveles de abstracción del modelado con HDL Estilos descriptivos del modelado con HDL Ventajas y limitaciones de los HDLs El lenguaje

Más detalles

Simulación con un banco de pruebas VHDL - test bench.

Simulación con un banco de pruebas VHDL - test bench. Tema 4: Simulación con un banco de pruebas VHDL - test bench. 4.1 Introducción 4.2 Diseño de un test bench 4.3 Ejemplos Tema 4: Simulación con un banco de pruebas VHDL - test bench. 4.1 Introducción 4.2

Más detalles

1. Módulo de desarrollo Spartan 3 STARTER KIT con un FPGA xc3s200 ft256 2. Software de diseño XILINX ISE versión 10.1

1. Módulo de desarrollo Spartan 3 STARTER KIT con un FPGA xc3s200 ft256 2. Software de diseño XILINX ISE versión 10.1 Universidad Simón Bolívar Departamento de Electrónica y Circuitos EC1723, Circuitos Digitales Trimestre Septiembre-Diciembre 2008 Realización: Semana 8. Miércoles 5 de Noviembre Laboratorio Práctica 4:

Más detalles

Índice. VHDL Lección 2.9 Máquinas de Estados Finitos (FSM) 1

Índice. VHDL Lección 2.9 Máquinas de Estados Finitos (FSM) 1 Índice Introducción Niveles de abstracción del modelado con HDL Estilos descriptivos del modelado con HDL Ventajas y limitaciones de los HDLs El lenguaje VHDL Objetos, tipos de datos y operadores Unidades

Más detalles

Estructura de VHDL. Sistemas Digitales Avanzados. Universidad Técnica Particular de Loja Prof: Diego Barragán Guerrero. Oct Feb.

Estructura de VHDL. Sistemas Digitales Avanzados. Universidad Técnica Particular de Loja Prof: Diego Barragán Guerrero. Oct Feb. Estructura de VHDL Sistemas Digitales Avanzados Universidad Técnica Particular de Loja Prof: Diego Barragán Guerrero Oct. 2014 - Feb. 2015 Sistemas Digitales Avanzados (UTPL) IET Oct. 2014 - Feb. 2015

Más detalles

----*************************************************************************

----************************************************************************* library IEEE; library UNISIM; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; use UNISIM.VComponents.all; ----********************************************************************

Más detalles

A (A3, A2, A1, A0) B (B3, B2, B1, B0) A (A0, A1, A2, A3) B (B0, B1, B2, B3) 0 to 3. 3 downto 0

A (A3, A2, A1, A0) B (B3, B2, B1, B0) A (A0, A1, A2, A3) B (B0, B1, B2, B3) 0 to 3. 3 downto 0 Fundamentos de lógica digital. VHDL Declaración de entidades utilizando vectores El acomodo de los bits vector que componen un bus puede ser ordenado de forma ascendente o descendente, por ejemplo para

Más detalles

Paquetes y componentes [1]

Paquetes y componentes [1] Paquetes y componentes [1] Sistemas Digitales Avanzados Universidad Técnica Particular de Loja Prof: Diego Barragán Guerrero Oct. 2014 - Feb. 2015 Sistemas Digitales Avanzados (UTPL) IET Oct. 2014 - Feb.

Más detalles

Sesión 6: Diseño Lógico con VHDL

Sesión 6: Diseño Lógico con VHDL Sesión 6: Diseño Lógico con VHDL S6 VHDL: de la tecnología a la arquitectura de computadores. José J. Ruz Ortiz, Síntesis 997 Estilos de descripción de un circuito lógico S6 2 VHDL: de la tecnología a

Más detalles