INTRODUCCIÓN AL LENGUAJE VHDL PARA CIRCUITOS

Tamaño: px
Comenzar la demostración a partir de la página:

Download "INTRODUCCIÓN AL LENGUAJE VHDL PARA CIRCUITOS"

Transcripción

1 INTRODUCCIÓN AL LENGUAJE VHDL PARA CIRCUITOS COMBINACIONALES UNIDAD VI Diseño Digital

2 HLD Opción de diseño para sistemas electrónicos elaborados. Integrar más dispositivos en un circuito integrado. VHDL Permiten abordar problemas lógicos a nivel funcional

3 NIVELES DE ABSTRACCIÓN Algorítmico (funcional): Relación funcional entre entradas y salidas, sin referencia a realización final. Transferencia de registros: Partición del sistema en bloques funcionales, sin detalles de la realización de cada bloque. Lógico o de compuertas: El circuito se expresa en términos de ecuaciones lógicas o compuertas

4 VHDL Lenguaje más usado a nivel industrial Década de los ochenta. Creado por el Depto. de Defensa de EUA Very High Speed Integrated Circuits (VHSIC) Estándar de comunicación y documentación. Publicado como estándar por el IEEE IEEEstd VHDL IEEE 1164 (1993)

5 VENTAJAS (VHDL) Notación formal. Disponibilidad pública. Independencia tecnológica del diseño. Independencia de tecnología y proceso de fabricación. Capacidad descriptiva en distintos niveles de abstracción. Uso como formato de intercambio de información. Independencia de los proveedores. Reutilización del código. Facilita participar en proyectos internacionales.

6 DESVENTAJAS (VHDL) Si tiene características especiales se pierde libertad de diseño. Lenguaje complejo Difícil de aprender (novatos)

7 COMPAÑÍAS Altera Corporation Cypress semiconductor Clear logic Motorola Xilinx

8 UNIDADES BÁSICAS DE DISEÑO Declaración de entidad (entity declaration)* Arquitectura (Architecture) Configuración (Configuration)* Declaración del paquete (Package declaration)* Cuerpo del paquete (Package body)

9 ENTIDAD (ENTITY) Bloque elemental de diseño en VHDL Sumadores, contadores, compuertas, memorias Representaciones Nivel compuertas Funcional Diagrama a bloques

10 PUERTOS DE ENTRADA-SALIDA Puerto: Cada una de las señales de entrada salida en una entidad Nombre Modo Tipo de dato Similar a una terminal (pin)

11 MODOS (PUERTOS) Modo in Comparador Modo out Modo inout In In Out Inout Buffer Modo buffer In Out

12 TIPOS DE DATOS (PUERTOS) Bit: 0 y 1 lógico Boolean: Verdadero o falso Bit_vector: Conjunto de bits Integer Número entero

13 DECLARACIÓN DE ENTIDADES Consiste en la descripción de las entradas y salidas de un circuito de diseño Cin A B Entidad sumador Cout Suma 1 --Declaración de la entidad de un circuito sumador 2 entity sumador is 3 port (A, B, Cin: in bit; 4 Suma, Cout: out bit); 5 end sumador;

14 IDENTIFICADORES Nombres o etiquetas para referir variables, constantes, señales Regla Incorrecto Correcto Primer carácter debe ser letra (Mayúscula o minúscula) Segundo carácter no debe ser un guión bajo 4suma S_4bits Suma4 S4_bits Dos guiones juntos no se permiten Resta 4 Resta_4_ No puede utilizar símbolos Clear#8 Clear_8

15 DISEÑO DE ENTIDADES MEDIANTE VECTORES Dra. Adriana del Carmen Téllez Anguiano Cin A[3:0] B[3:0] Entidad sumador Cout Suma[3:0] Vector_A = [A3, A2, A1, A0] Vector_B = [B3, B2, B1, B0] Vector_Suma = [S3, S2, S1, S0] port (vector_a, vector_b: in bit_vector (3 downto 0); vector_suma: out bit_vector (3 downto 0)); vector_a(3)=a3 vector_b(3)=b3 vector_suma(3)=s3 vector_a(2)=a2 vector_b(2)=b2 vector_suma(2)=s2 vector_a(1)=a1 vector_b(1)=b1 vector_suma(1)=s1 vector_a(0)=a0 vector_b(0)=b0 vector_suma(0)=s0 3 downto 0 = descendente 0 to 3 = ascendente

16 DECLARACIÓN DE ENTIDADES MEDIANTE LIBRERÍAS Y PAQUETES Permiten declarar y almacenar estructuras lógicas Agilizan el diseño VHDL Librerías Paquetes IEEE Dra. Adriana del Carmen Téllez Anguiano 1 library ieee; 2 use ieee.std_logic_1164.all; 3 entity multiplica is 4 port (X0, X1, Y0, Y1: in std_logic; 5 Z3, Z2, Z1, Z0: out std_logic); 6 end multiplica; std_logic_1164 (Tipos de datos empleados en VHDL) Work numeric_std (Funciones para realizar operaciones, dif. datos) std_arith (Funciones y operadores ariméticos) gatespkg

17 ARQUITECTURAS Estructura que describe el funcionamiento de una entidad. Se puede describir desde el nivel de compuertas hasta sistemas complejos (Diseño) Estilos de programación: Funcional Por flujo de datos Estructural

18 DESCRIPCIÓN FUNCIONAL Si a = b c = 1 Si a b c = 0 a b 2 2 Comparador c 1 -- Descripción funcional 10 compara: process (a, b) 2 library ieee 11 begin 3 use ieee.std_logic.1164.all 12 If a = b then 4 entity comp is 13 c<= 1 ; 5 port (a, b: in bit_vector (1 downto 0); 14 else 6 c: out bit); 15 c<= 0 ; 7 end comp; 16 end if; 8 architecture funcional of comp is 17 end process compara; 9 begin 18 end funcional;

19 DESCRIPCIÓN POR FLUJO DE DATOS Mediante when-else Si a = b c = 1 Si a b c = Descripción mediante when-else 7 end comp; 2 library ieee 8 architecture f_datos of comp is 3 use ieee.std_logic.1164.all 9 begin 4 entity comp is 10 c<= 1 when (a=b) else 0 ; 5 port (a, b: in bit_vector (1 downto 0); 11 --Asigna el valor de comp 6 c: out bit); 12 end f_datos;

20 DESCRIPCIÓN POR FLUJO DE DATOS Si a = b c = 1 Mediante ecuaciones booleanas Si a b c = 0 a b 2 2 Comparador c a0 b0 a1 c b Descripción mediante ec. booleanas 7 end comp; 2 library ieee 8 architecture booleana of comp is 3 use ieee.std_logic.1164.all 9 begin 4 entity comp is 10 c <= (a(1) xnor b(1) 5 port (a, b: in bit_vector (1 downto 0); 11 and a(0) xnor b(0)); 6 c: out bit); 12 end booleana;

21 DESCRIPCIÓN ESTRUCTURAL Si a = b c = 1 Si a b c = 0 a b a0 b0 a1 b1 U0 U1 x0 x1 U2 c 1 library ieee 8 architecure estructural of comp is 2 use ieee.std_logic_1164.all 9 signal x: bit_vector (0 to 1); 3 entity comp is 10 begin 4 port (a, b: in bit_vector (1 downto 0); 11 U0= xnor2 port map (a(0); b(0), x(0)); 5 c: out bit); 12 U1= xnor2 port map (a(1); b(1), x(1)); 6 end comp; 13 U2= and2 port map (x(0); x(1), c); 7 use work.compuerta.all 14 end estructural;

22 DESCRIPCIÓN ESTRUCTURAL 1 library ieee 8 architecure estructural of comp is 2 use ieee.std_logic.1164.all 9 signal x: bit_vector (0 to 1); 3 entity comp is 10 begin 4 port (a, b: in bit_vector (1 downto 0); 11 U0= xnor2 port map (a(0); b(0), x(0)); 5 c: out bit); 12 U1= xnor2 port map (a(1); b(1), x(1)); 6 end comp; 13 U2= and2 port map (x(0); x(1), c); 7 use work.compuerta.all 14 end estructural; Basa su comportamiento en modelos lógicos establecidos La conectividad se conoce como netlist (lista de componentes) Permite jerarquía (División en bloques) Ejemplo: - xnor y and declaradas en gatespkg - x se declara como signal dentro de la estructura no en la entidad ya que no representan una terminal interna de ésta.

Netlist: conjunto de instrucciones que indican el interconexionado entre los componentes de un diseño (lista de conexiones).

Netlist: conjunto de instrucciones que indican el interconexionado entre los componentes de un diseño (lista de conexiones). Lenguajes de Descripción de Hardware Netlist: conjunto de instrucciones que indican el interconexionado entre los componentes de un diseño (lista de conexiones). HDL: Estos lenguajes permitieron solucionar

Más detalles

A (A3, A2, A1, A0) B (B3, B2, B1, B0) A (A0, A1, A2, A3) B (B0, B1, B2, B3) 0 to 3. 3 downto 0

A (A3, A2, A1, A0) B (B3, B2, B1, B0) A (A0, A1, A2, A3) B (B0, B1, B2, B3) 0 to 3. 3 downto 0 Fundamentos de lógica digital. VHDL Declaración de entidades utilizando vectores El acomodo de los bits vector que componen un bus puede ser ordenado de forma ascendente o descendente, por ejemplo para

Más detalles

PRACTICA 3. Lenguaje de descripción de hardware VHDL.

PRACTICA 3. Lenguaje de descripción de hardware VHDL. Lenguaje de descripción de hardware VHDL. Objetivo: El alumno analizara de que partes escenciales conta un codigo hecho atravez del lenguaje de descripcion de hardware VHDL, asi tambien que implica la

Más detalles

VHDL Y FPGA LENGUAJE VHDL

VHDL Y FPGA LENGUAJE VHDL VHDL Y FPGA LENGUAJE VHDL VHDL es un lenguaje de alto nivel que describe todas las características de circuitos electrónicos digitales de variada complejidad. El significado de las siglas VHDL es V de

Más detalles

UNIVERSIDAD DE SEVILLA Dpto. de Ingeniería Electrónica. Introducción a los lenguajes HDL y conceptos. Octubre de 2007

UNIVERSIDAD DE SEVILLA Dpto. de Ingeniería Electrónica. Introducción a los lenguajes HDL y conceptos. Octubre de 2007 UNIVERSIDAD DE SEVILLA Dpto. de Ingeniería Electrónica AREA DE TECNOLOGIA ELECTRONICA VHDL Introducción al lenguaje VHDL Introducción a los lenguajes HDL y conceptos básicos de VHDL Octubre de 2007 Tema

Más detalles

VHDL. VHDL: VHSIC Hardware Description Language VHSIC: Very High Speed Integrated Circuits

VHDL. VHDL: VHSIC Hardware Description Language VHSIC: Very High Speed Integrated Circuits VHDL VHDL: VHSIC Hardware Description Language VHSIC: Very High Speed Integrated Circuits VHDL es un lenguaje de descripción de hardware Es útil para la síntesis y verificación de circuitos digitales Historia

Más detalles

Tema 1 Panorámica del lenguaje de descripción hardware VHDL (1/2)

Tema 1 Panorámica del lenguaje de descripción hardware VHDL (1/2) TECNOLOGÍA DE COMPUTADORES Tema 1 Panorámica del lenguaje de descripción hardware VHDL (1/2) Agustín Álvarez Marquina Introducción (I) Causas del avance en el diseño de los sistemas digitales en los últimos

Más detalles

Tema 3 - Modelado con HDL a nivel RTL

Tema 3 - Modelado con HDL a nivel RTL - Modelado con HDL a nivel RTL Eduardo Rodríguez Martínez Departamento de Electrónica División de Ciencias Básicas e Ingeniería Universidad Autónoma Metropolitana Unidad Azcapotzalco Email: erm@correo.azc.uam.mx

Más detalles

SISTEMAS DIGITALES VHDL

SISTEMAS DIGITALES VHDL SD SISTEMAS DIGITALES VHDL Fredy Hernán Riascos Campiño Practica 1a: Implementación de un incrementador: Se he de implementar un modulo incrementador (INC), Este modulo no es mas que un sumador y un registro

Más detalles

4.3. Lenguaje VHDL Declaración de Packages

4.3. Lenguaje VHDL Declaración de Packages 4.3. Lenguaje VHDL El lenguaje VHDL (Very High Speed Integrates Circuit Hardware Description Language) es un lenguaje estándar utilizado para la descripción de los sistemas digitales. En este apartado

Más detalles

Introducción a VHDL. Por: Carlos A. Fajardo UIS - Sistemas Digitales

Introducción a VHDL. Por: Carlos A. Fajardo UIS - Sistemas Digitales Introducción a VHDL Por: Carlos A. Fajardo cafajar@uis.edu.co Lenguajes de Descripción de Hardware Netlist: conjunto de instrucciones que indican el interconexionado entre los componentes de un diseño

Más detalles

Introducción a VHDL. Por: Carlos A. Fajardo

Introducción a VHDL. Por: Carlos A. Fajardo Introducción a VHDL Por: Carlos A. Fajardo cafajar@uis.edu.co Actualizado 11/11/2015 Lenguajes de Descripción de Hardware Netlist: conjunto de instrucciones que indican el interconexionado entre los componentes

Más detalles

VHDL. Lenguaje de descripción hardware Estructura Básica de diseño

VHDL. Lenguaje de descripción hardware Estructura Básica de diseño VHDL. Lenguaje de descripción hardware Estructura Básica de diseño 2007 A.G.O. All Rights Reserved Estructura de un diseño en VHDL LIBRARY declaraciones VHDL estructura básica ENTITY caja negra ARCHITECTURE

Más detalles

PRÁCTICA: LENGUAJE VHDL

PRÁCTICA: LENGUAJE VHDL PRÁCTICA: LENGUAJE Introducción Los lenguajes permiten manejar mejor grandes tamaños Los lenguajes son más flexibles que las tablas Los lenguajes son légibles por las máquinas más fácilmente que los gráficos

Más detalles

Estructura de VHDL. Sistemas Digitales Avanzados. Universidad Técnica Particular de Loja Prof: Diego Barragán Guerrero. Oct Feb.

Estructura de VHDL. Sistemas Digitales Avanzados. Universidad Técnica Particular de Loja Prof: Diego Barragán Guerrero. Oct Feb. Estructura de VHDL Sistemas Digitales Avanzados Universidad Técnica Particular de Loja Prof: Diego Barragán Guerrero Oct. 2014 - Feb. 2015 Sistemas Digitales Avanzados (UTPL) IET Oct. 2014 - Feb. 2015

Más detalles

VHDL. Lenguaje de descripción hardware

VHDL. Lenguaje de descripción hardware VHDL. Lenguaje de descripción hardware Modelado combinacional 26 A.G.O. All Rights Reserved Modelado combinacional El modelado de sistemas combinacionales es muy sencillo, puesto que en todo momento las

Más detalles

LENGUAJE VHDL. Ing. Wilmer Naranjo 1

LENGUAJE VHDL. Ing. Wilmer Naranjo 1 LENGUAJE VHDL Ing. Wilmer Naranjo 1 DESCRIPCIÓN EN VHDL Al inicio de los 80 s diversos grupos de investigadores empiezan a crear y desarrollar los llamados "lenguajes de descripción de hardware" cada uno

Más detalles

DE INGENIERÍA TÉCNICA INDUSTRIAL. ESPECIALIDAD EN ELECTRÓNICA INDUSTRIAL

DE INGENIERÍA TÉCNICA INDUSTRIAL. ESPECIALIDAD EN ELECTRÓNICA INDUSTRIAL EJERCICIOS DE SISTEMAS ELECTRÓNICOS DIGITALES: HOJA 2 2 o CURSO DE INGENIERÍA TÉCNICA INDUSTRIAL. ESPECIALIDAD EN ELECTRÓNICA INDUSTRIAL LENGUAJES DE ALTO NIVEL 1) Realiza en RTL un comparador de dos buses

Más detalles

INGENIERÍA DE COMPUTADORES III. Solución al Ejercicio de Autocomprobación 1

INGENIERÍA DE COMPUTADORES III. Solución al Ejercicio de Autocomprobación 1 INGENIERÍA DE COMPUTADORES III Solución al Ejercicio de Autocomprobación PREGUNTA (3 puntos) Escriba en VHDL la architecture que describe el comportamiento de un contador síncrono ascendente módulo 4 en

Más detalles

INFORME LABORATORIO No.1 Implementación de sistemas combinacionales en VHDL Diseño de una ALU. Nelson Antonio Becerra Carrillo

INFORME LABORATORIO No.1 Implementación de sistemas combinacionales en VHDL Diseño de una ALU. Nelson Antonio Becerra Carrillo INFORME LABORATORIO No.1 Implementación de sistemas combinacionales en VHDL Diseño de una ALU Nelson Antonio Becerra Carrillo nelsonabc25@hotmail.com Jaime Alberto López Rincón jaimealopezr@yahoo.com Universidad

Más detalles

LABORATORIO DE CIRCUITOS DIGITALES (2005-II) SEGUNDA CLASE DE VHDL

LABORATORIO DE CIRCUITOS DIGITALES (2005-II) SEGUNDA CLASE DE VHDL LABORATORIO DE CIRCUITOS DIGITALES (25-II) SEGUNDA CLASE DE VHDL TIPOS y MODOS DE DATOS DESCRIPCIÓN CONCURRENTE Sentencias de asignación: with select, when - else DESCRIPCIÓN COMPORTAMENTAL Procesos asíncronos

Más detalles

Recursos y Metodologías. Función. Programas

Recursos y Metodologías. Función. Programas Recursos y Metodologías Sistema Digital Estructura { + Función Descripción Datos R. Cómputo R. Almacenamiento R. Conexionado { Comandos Implementación Full-custom Semi-custom Gate Arrays Programas Lenguaje

Más detalles

Código concurrente en VHDL [5]

Código concurrente en VHDL [5] Código concurrente en VHDL [5] Lab. Sistemas Digitales Universidad Técnica Particular de Loja Prof: Diego Barragán Guerrero Oct. 2014 - Feb. 2015 Lab. Sistemas Digitales (UTPL) IET Oct. 2014 - Feb. 2015

Más detalles

Comparador de 1 bit Tabla de verdad y circuito lógico A B A > B A = B A < B

Comparador de 1 bit Tabla de verdad y circuito lógico A B A > B A = B A < B Comparadores Índice Descripción Comparador de 1 bit Tabla de verdad Circuito lógico Comparador de N bits Circuito comercial 74LS85 Tabla de verdad Circuito lógico Comparador 8 bits serie Comparador 16

Más detalles

Laboratorio de Arquitectura de Computadoras

Laboratorio de Arquitectura de Computadoras Laboratorio de Arquitectura de Computadoras Estándar IEEE 1164 de VHDL y ALU TTL-74381 Oscar Alvarado Nava oan@azc.uam.mx Departamento de Electrónica División de Ciencias Básicas e Ingeniería Universidad

Más detalles

INGENIERÍA DE COMPUTADORES 3. Solución al examen de Septiembre 2016

INGENIERÍA DE COMPUTADORES 3. Solución al examen de Septiembre 2016 Solución al examen de Septiembre 2016 PREGUNTA 1 (2 puntos) Tomando como base el siguiente código VHDL, dibuje el cronograma de evolución de las señales x1, x2, x3 y x4 entre los instantes 0 y 100 ns.

Más detalles

Laboratorio de Arquitectura de Computadoras

Laboratorio de Arquitectura de Computadoras Laboratorio de Arquitectura de Computadoras Estándar IEEE 1164 de VHDL Oscar Alvarado Nava oan@azc.uam.mx Departamento de Electrónica División de Ciencias Básicas e Ingeniería Universidad Autónoma Metropolitana,

Más detalles

UNIVERSIDAD CARLOS III DE MADRID. Ejercicios de VHDL. Circuitos Integrados y Microelectrónica. Luis Entrena. Celia López.

UNIVERSIDAD CARLOS III DE MADRID. Ejercicios de VHDL. Circuitos Integrados y Microelectrónica. Luis Entrena. Celia López. UNIVERSIDAD CARLOS III DE MADRID Ejercicios de VHDL Circuitos Integrados y Microelectrónica Luis Entrena Celia López Mario García Enrique San Millán Marta Portela Almudena Lindoso Problema 1 Se pretende

Más detalles

4.5. Procedimiento de síntesis

4.5. Procedimiento de síntesis 4.5. Procedimiento de síntesis En este apartado se resumen los pasos a seguir para completar la implementación de un sistema digital en un dispositivo programable: descripción del sistema mediante uno

Más detalles

Electrónica Digital Departamento de Electrónica VHDL. Bioingeniería Facultad de Ingeniería - UNER

Electrónica Digital Departamento de Electrónica VHDL. Bioingeniería Facultad de Ingeniería - UNER Electrónica Digital Departamento de Electrónica VHDL Bioingeniería Facultad de Ingeniería - UNER VHDL VHSIC Hardware Design Language VHSIC Very High Speed Integrated Circuits Verilog Handel C Celóxica

Más detalles

Objetos de VHDL.! Un objeto en VHDL es un elemento que contiene. un valor de tipo específico de dato

Objetos de VHDL.! Un objeto en VHDL es un elemento que contiene. un valor de tipo específico de dato Objetos de VHDL! Un objeto en VHDL es un elemento que contiene un valor de tipo específico de dato Objetos que se pueden manipular en VHDL y sus tipos -3 clases principales de objetos: SEÑALES: similares

Más detalles

5.1. Introducción a los sistemas digitales

5.1. Introducción a los sistemas digitales 5.. Introducción a los sistemas digitales 5... Sistemas digitales [ Wakerly.2 pág. 3] 5..2. Puertas y señales lógicas [ Wakerly.3 pág. 6] 5..3. Representación de la lógica digital [ Wakerly. pág. 9] 5..4.

Más detalles

INGENIERÍA DE COMPUTADORES 3. Solución al examen de Junio 2013, Segunda Semana

INGENIERÍA DE COMPUTADORES 3. Solución al examen de Junio 2013, Segunda Semana Solución al examen de Junio 2013, Segunda Semana PREGUNTA 1 (2 puntos) Tomando como base el siguiente código VHDL, dibuje el cronograma de evolución de las señales x1, x2, x3, x4, x5 entre los instantes

Más detalles

Practica No. 5 Diseño de un Multiplicador

Practica No. 5 Diseño de un Multiplicador Practica No. 5 Diseño de un Multiplicador Objetivo: Diseñar un módulo de multiplicación utilizando diferentes métodos, entender las ventajas y desventajas de cada uno de ellos. Aprender a usar procesos

Más detalles

Julio. [ Programación en VHDL ] Guía rápida. [ h t t p : / / w w w. o p e n b o x e r m b. c o m / a s i g n a t u r a s / d s d.

Julio. [ Programación en VHDL ] Guía rápida. [ h t t p : / / w w w. o p e n b o x e r m b. c o m / a s i g n a t u r a s / d s d. Julio 09 [ Programación en VHDL ] Guía rápida [ h t t p : / / w w w. o p e n b o x e r. 2 6 0 m b. c o m / a s i g n a t u r a s / d s d. h t m l ] Programación en VHDL Guia rapida [ INDICE ] I. Programación

Más detalles

INGENIERÍA DE COMPUTADORES 3. Solución al Trabajo Práctico - Septiembre de 2016

INGENIERÍA DE COMPUTADORES 3. Solución al Trabajo Práctico - Septiembre de 2016 Solución al Trabajo Práctico - Septiembre de 2016 EJERCICIO 1 Se desea diseñar un circuito digital que implemente la función F cuya tabla de verdad se muestra a continuación, que depende de las tres variables

Más detalles

Estructura de un Código VHDL

Estructura de un Código VHDL Estructura de un Código VHDL Unidades Fundamentales Un código VHDL se compone de tres secciones: Library/Package (declaración de bibliotecas o paquetes) Contiene una lista de todas las bibliotecas o paquetes

Más detalles

MAQUINA DE ESTADO FINITO (FSM) Autómata finito

MAQUINA DE ESTADO FINITO (FSM) Autómata finito MAQUINA DE ESTADO FINITO (FSM) Autómata finito Modelo de Mealy E Lógica del próximo estado Q t+1 M E M O R I A Q t Lógica de salida S Ck Q t+1 = f (E, Q t ) S = g (E, Q t ) Modelo de Moore E Lógica del

Más detalles

Objetos de VHDL. Un objeto en VHDL es un elemento que contiene un valor de tipo específico de dato

Objetos de VHDL. Un objeto en VHDL es un elemento que contiene un valor de tipo específico de dato Objetos de VHDL Un objeto en VHDL es un elemento que contiene un valor de tipo específico de dato Objetos que se pueden manipular en VHDL y sus tipos -3 clases principales de objetos: SEÑALES: similares

Más detalles

Practica No. 5 Diseño de un Multiplicador

Practica No. 5 Diseño de un Multiplicador Practica No. 5 Diseño de un Multiplicador Objetivo: Diseñar un módulo de multiplicación utilizando diferentes métodos, entender las ventajas y desventajas de cada uno de ellos. Aprender a usar procesos

Más detalles

Introducción al VHDL. VHDL orientado a la síntesis de circuitos en Dispositivo Lógicos Programables

Introducción al VHDL. VHDL orientado a la síntesis de circuitos en Dispositivo Lógicos Programables Introducción al VHDL VHDL orientado a la síntesis de circuitos en Dispositivo Lógicos Programables Introducción Lenguaje de descripción de dispositivos Hardware. Diferencias con lenguajes de programación:

Más detalles

PLANTILLA. [t], Maximino Peña Guerrero,

PLANTILLA. [t], Maximino Peña Guerrero, PLANTILLA Instrucciones secuenciales: CASE CASE ejecuta una o varias secuencias de instrucciones que dependen del valor de una sola expresión. SINTAXIS case expression is when choices => {sequential_statement}

Más detalles

Tipos de datos en VHDL

Tipos de datos en VHDL Tipos de datos en VHDL Sistemas Digitales Avanzados Universidad Técnica Particular de Loja Prof: Diego Barragán Guerrero Oct. 2014 - Feb. 2015 Sistemas Digitales Avanzados (UTPL) IET Oct. 2014 - Feb. 2015

Más detalles

Sesión 6: Diseño Lógico con VHDL

Sesión 6: Diseño Lógico con VHDL Sesión 6: Diseño Lógico con VHDL S6 VHDL: de la tecnología a la arquitectura de computadores. José J. Ruz Ortiz, Síntesis 997 Estilos de descripción de un circuito lógico S6 2 VHDL: de la tecnología a

Más detalles

4. SUMADORES EN BINARIO PURO (I)

4. SUMADORES EN BINARIO PURO (I) TEMA 3: SISTEMAS ARITMÉTICOS Introducción y objetivos (3). Representación y codificación de la información (4-7) 2. Sistemas numéricos posicionales. Binario, hexadecimal, octal, y BCD. (8-33) 3. Números

Más detalles

Sistemas Electrónicos Digitales Curso de adaptación al Grado

Sistemas Electrónicos Digitales Curso de adaptación al Grado Práctica Práctica 2 Sistemas Electrónicos Digitales Curso de adaptación al Grado Sistemas combinacionales con VHDL Universidad de Alicante Ángel Grediaga 2 Índice INTRODUCCIÓN... 3 2 CIRCUITOS COMBINACIONALES...

Más detalles

INGENIERÍA DE COMPUTADORES III. Solución al Ejercicio de Autocomprobación 3

INGENIERÍA DE COMPUTADORES III. Solución al Ejercicio de Autocomprobación 3 INGENIERÍA DE COMPUTADORES III Solución al Ejercicio de Autocomprobación 3 PREGUNTA (2 puntos).a) ( punto) Dibuje el diagrama conceptual correspondiente al fragmento de código Fragmento..b) ( punto) Dibuje

Más detalles

TEMA IV: SÍNTESIS HARDWARE

TEMA IV: SÍNTESIS HARDWARE TEMA IV: SÍNTES HARDWARE Especificaciones Formato intermedio Partición HW/SW LA SÍNTES HARDWARE ES LA TAREA DE PASAR DE UN DOMINIO DE ABSTRACCIÓN A OTRO COMPORTAMIENTO -> ESTRUCTURA Código Implementación

Más detalles

Sistemas Digitales - Examen temas 1, 2 y 3 - (6 de Abril 2016)

Sistemas Digitales - Examen temas 1, 2 y 3 - (6 de Abril 2016) EXAMEN RESUELTO Problema-. Modelo-B (Calificación puntos) Se quiere diseñar un circuito digital, tal que, dado un número en código octal de una sola cifra en su entrada, este circuito: ) Indique, si el

Más detalles

INGENIERÍA DE COMPUTADORES III. Solución al Ejercicio de Autocomprobación 8

INGENIERÍA DE COMPUTADORES III. Solución al Ejercicio de Autocomprobación 8 INGENIERÍA DE COMPUTADORES III Solución al Ejercicio de Autocomprobación 8 PREGUNTA 1 (3 puntos) Escriba en VHDL, de las formas que se detallan a continuación, la architecture que describe el comportamiento

Más detalles

VHDL. Very. High. speed integrated circuit Hardware. Description. Language. Digital II Ingeniería Electrónica. Rosa Corti

VHDL. Very. High. speed integrated circuit Hardware. Description. Language. Digital II Ingeniería Electrónica. Rosa Corti VHDL Very High speed integrated circuit Hardware Description Language Digital II Ingeniería Electrónica Rosa Corti Contenido Dispositivos FPGA Lenguaje VHDL: Evolución y características Unidades de diseño

Más detalles

Practica No. 8 Introducción a las Máquinas de Estados. 1. En la figura 1 se muestra el comportamiento de un robot que evade obstáculos.

Practica No. 8 Introducción a las Máquinas de Estados. 1. En la figura 1 se muestra el comportamiento de un robot que evade obstáculos. Practica No. 8 Introducción a las Máquinas de Estados Objetivo: Familiarizar al alumno en el conocimiento de los algoritmos de las máquinas de estados. Desarrollo: Para cada uno de los siguientes apartados,

Más detalles

MAQUINA DE ESTADO FINITO (FSM) Autómata finito

MAQUINA DE ESTADO FINITO (FSM) Autómata finito MAQUINA DE ESTADO FINITO (FSM) Autómata finito Modelo de Mealy E Lógica del próximo estado Q t+1 M E M O R I A Q t Lógica de salida S Ck Q t+1 = f (E, Q t ) S = g (E, Q t ) Modelo de Moore E Lógica del

Más detalles

Sistemas Digitales - Examen temas 1, 2 y 3 - (6 de Abril 2016)

Sistemas Digitales - Examen temas 1, 2 y 3 - (6 de Abril 2016) Sistemas Digitales - Examen temas, 2 y 3 - (6 de Abril 206) EXAMEN RESUELTO Problema-. Modelo-A (Calificación 0 puntos) Se quiere diseñar un circuito digital, tal que, dado un número en código octal de

Más detalles

VHDL: Código Secuencial. Arquitectura del Computador 2017

VHDL: Código Secuencial. Arquitectura del Computador 2017 VHDL: Código Secuencial Arquitectura del Computador 2017 PROCESSES, FUNCTIONS y PROCEDURES Solo se ejecuta secuencialmente el código que se encuentra dentro de PROCESSES, FUNCTIONS o PROCEDURES. Cualquiera

Más detalles

Diseño de Sistemas Electrónicos Digitales Avanzados

Diseño de Sistemas Electrónicos Digitales Avanzados Práctica 1 Práctica Diseño de Sistemas Electrónicos Digitales Avanzados Sistemas combinacionales con VHDL Universidad de Alicante Ángel Grediaga Índice 1 Introducción... 3 Circuitos combinacionales...

Más detalles

Realizar un descripción VHDL de las siguientes funciones lógicas, o grupos de funciones lógicas

Realizar un descripción VHDL de las siguientes funciones lógicas, o grupos de funciones lógicas Problemas propuestos Realizar un descripción VHDL de las siguientes funciones lógicas, o grupos de funciones lógicas F(A, B, C) = AB + A B C F(A, B, C, D) = C (A + D) (A + B + D) F(A, B, C, D) = A + B

Más detalles

PRACTICA 6: CIRCUITOS ARITMETICOS: SUMADORES Y RESTADORES.

PRACTICA 6: CIRCUITOS ARITMETICOS: SUMADORES Y RESTADORES. PRACTICA 6: CIRCUITOS ARITMETICOS: SUMADORES Y RESTADORES. Sumadores básicos: Los sumadores son muy importantes no solamente en las computadoras, sino en muchos pos de sistemas digitales en los que se

Más detalles

Paquetes y componentes [1]

Paquetes y componentes [1] Paquetes y componentes [1] Sistemas Digitales Avanzados Universidad Técnica Particular de Loja Prof: Diego Barragán Guerrero Oct. 2014 - Feb. 2015 Sistemas Digitales Avanzados (UTPL) IET Oct. 2014 - Feb.

Más detalles

Practicas tuteladas VHDL (curso 04-05)

Practicas tuteladas VHDL (curso 04-05) Practicas tuteladas VHDL (curso 4-5) Tecnología de computadores. Prácticas de VHDL (Curso 3/4) PRÁCTICA-2: ATRIBUTOS DE SEÑALES ELEMENTOS DE MEMORIA Y REGISTROS OBJETIVOS: Utilizar el paquete de datos

Más detalles

Diseño Lógico I Facultad de Ciencias Exactas y Tecnología UNT. LENGUAJES DE DESCRIPCIÓN DE HARDWARE

Diseño Lógico I Facultad de Ciencias Exactas y Tecnología UNT. LENGUAJES DE DESCRIPCIÓN DE HARDWARE LENGUAJES DE DESCRIPCIÓN DE HARDWARE METODOS PARA DESCRIBIR CIRCUITOS LÓGICOS Descripción verbal Tablas de verdad Diagrama esquemático Expresiones del álgebra booleana Diagrama de tiempos Lenguajes de

Más detalles

Simulación con un banco de pruebas VHDL - test bench.

Simulación con un banco de pruebas VHDL - test bench. Tema 4: Simulación con un banco de pruebas VHDL - test bench. 4.1 Introducción 4.2 Diseño de un test bench 4.3 Ejemplos Tema 4: Simulación con un banco de pruebas VHDL - test bench. 4.1 Introducción 4.2

Más detalles

Tema 2. Funciones Lógicas. Algebra de Conmutación. Representación de circuitos digitales. Minimización de funciones lógicas.

Tema 2. Funciones Lógicas. Algebra de Conmutación. Representación de circuitos digitales. Minimización de funciones lógicas. Tema 2. Funciones Lógicas Algebra de Conmutación. Representación de circuitos digitales. Minimización de funciones lógicas. Representación de Circuitos Digitales Representación esquemática. Representación

Más detalles

INGENIERÍA DE COMPUTADORES III. Solución al examen de Septiembre 2014

INGENIERÍA DE COMPUTADORES III. Solución al examen de Septiembre 2014 Solución al examen de Septiembre 2014 PREGUNTA 1 (2 puntos) Tomando como base el siguiente código VHDL, dibuje el cronograma de evolución de las señales x1, x2, x3, temp4, temp5, temp6 y x4 entre los instantes

Más detalles

El código concurrente esta destinado únicamente para el diseño de circuitos combinacionales.

El código concurrente esta destinado únicamente para el diseño de circuitos combinacionales. Código Concurrente Código Concurrente El código VHDL puede ser concurrente o secuencial. Únicamente las sentencias colocadas dentro de un PROCESS, FUNCTION o PROCEDURE (los últimos dos son llamados subprogramas)

Más detalles

Tema 4 - Bloques combinacionales

Tema 4 - Bloques combinacionales - Bloques combinacionales Eduardo Rodríguez Martínez Departamento de Electrónica División de Ciencias Básicas e Ingeniería Universidad Autónoma Metropolitana Unidad Azcapotzalco Email: erm@correo.azc.uam.mx

Más detalles

VHDL. Carlos Andrés Luna Vázquez. Lección 5. Sentencias concurrentes

VHDL. Carlos Andrés Luna Vázquez. Lección 5. Sentencias concurrentes VHDL Carlos Andrés Luna Vázquez Lección 5 Sentencias concurrentes Índice Introducción Niveles de abstracción del modelado con HDL Estilos descriptivos del modelado con HDL Ventajas y limitaciones de los

Más detalles

Ejemplo básico de descripción VHDL

Ejemplo básico de descripción VHDL Ejemplo básico de descripción VHDL Describir en VHDL un circuito que multiplexe dos líneas (a y b) de un bit, a una sola línea (salida) también de un bit; la señal selec sirve para indicar que a la salida

Más detalles

Operadores y atributos en VHDL [1]

Operadores y atributos en VHDL [1] Operadores y atributos en VHDL [1] Sistemas Digitales Avanzados Universidad Técnica Particular de Loja Prof: Diego Barragán Guerrero Oct. 2014 - Feb. 2015 Sistemas Digitales Avanzados (UTPL) IET Oct. 2014

Más detalles

INGENIERÍA DE COMPUTADORES 3. Solución al examen de Junio 2015, Segunda Semana

INGENIERÍA DE COMPUTADORES 3. Solución al examen de Junio 2015, Segunda Semana Solución al examen de Junio 2015, Segunda Semana PREGUNTA 1 (2 puntos) Tomando como base el siguiente código VHDL, dibuje el cronograma de evolución de las señales x1, x2, x3, x4 entre los instantes 0

Más detalles

INGENIERÍA DE COMPUTADORES 3. Solución al Trabajo Práctico - Junio de 2016

INGENIERÍA DE COMPUTADORES 3. Solución al Trabajo Práctico - Junio de 2016 Solución al Trabajo Práctico - Junio de 2016 EJERCICIO 1 Se desea diseñar un circuito digital que implemente las funciones F y G cuya tabla de verdad se muestra a continuación, que dependen de las tres

Más detalles

INGENIERÍA DE COMPUTADORES 3. Solución al examen de Junio 2014, Primera Semana

INGENIERÍA DE COMPUTADORES 3. Solución al examen de Junio 2014, Primera Semana Solución al examen de Junio 2014, Primera Semana PREGUNTA 1 (2 puntos) Tomando como base el siguiente código VHDL, dibuje el cronograma de evolución de las señales x1, x2, x3, x4, x5 entre los instantes

Más detalles

INGENIERÍA DE COMPUTADORES III. Solución al Ejercicio de Autocomprobación 4

INGENIERÍA DE COMPUTADORES III. Solución al Ejercicio de Autocomprobación 4 INGENIERÍA DE COMPUTADORES III Solución al Ejercicio de Autocomprobación 4 PREGUNTA (2 puntos) Tomando como base el siguiente código VHDL, dibuje el cronograma de evolución de las señales in, in2, s, s2,

Más detalles

Electrónica Digital. Capítulo 1: Circuitos Digitales. Circuitos combinacionales. (2/3)

Electrónica Digital. Capítulo 1: Circuitos Digitales. Circuitos combinacionales. (2/3) Capítulo 1: Circuitos Digitales Circuitos combinacionales (2/3) Índice Introducción Circuitos combinacionales Multiplexores Demultiplexores Decodificadores No excitadores/excitadores Codificadores Con

Más detalles

4.4. Métodos de diseño

4.4. Métodos de diseño 4.4. Métodos de diseño 4.4.1. Estilo estructural Es la descripción estructural en la que se descompone en los componentes del sistema y se indican sus interconexiones. Cada subcircuito se denomina component.

Más detalles

5. Decodificadores. Salida _1= A A A A = m = M ... Electrónica Digital. Tema

5. Decodificadores. Salida _1= A A A A = m = M ... Electrónica Digital. Tema 5. Decodificadores La función de un decodificador es la siguiente: ante una combinación concreta binaria de entrada (correspondiente a una combinación de algún código binario), activar una salida correspondiente

Más detalles

INGENIERÍA DE COMPUTADORES III. Solución al examen de Junio 2012, Segunda Semana

INGENIERÍA DE COMPUTADORES III. Solución al examen de Junio 2012, Segunda Semana Solución al examen de Junio 2012, Segunda Semana PREGUNTA 1 (2 puntos) Tomando como base el siguiente código VHDL, dibuje el cronograma de evolución de las señalesx1,x2,x3,x4,x5 entre los instantes 0 y

Más detalles

Lenguaje ABEL-HDL. Departamento de Electrónica. Fundación San Valero

Lenguaje ABEL-HDL. Departamento de Electrónica. Fundación San Valero Lenguaje HDL Lenguaje ABEL-HDL Departamento de Electrónica Fundación San Valero Qué es HDL? HDL es el acrónimo de Hardware Description Language (Lenguaje de Descripción de Hardware). Son lenguajes de programación

Más detalles

ELECTRÓNICA DIGITAL. Unidad 1. Descripción y Simulación de Circuitos Digitales Utilizando VHDL. Agosto, 2014.

ELECTRÓNICA DIGITAL. Unidad 1. Descripción y Simulación de Circuitos Digitales Utilizando VHDL. Agosto, 2014. CENTRO DE INVESTIGACIÓN Y DE ESTUDIOS AVANZADOS DEL INSTITUTO POLITÉCNICO NACIONAL ELECTRÓNICA DIGITAL Unidad 1 Descripción y Simulación de Circuitos Digitales Utilizando VHDL Agosto, 2014. CENTRO DE INVESTIGACION

Más detalles

Prefacio 25 Organización de la Unidad Didáctica Cómo utilizar el libro Objetivos docentes... 27

Prefacio 25 Organización de la Unidad Didáctica Cómo utilizar el libro Objetivos docentes... 27 Prefacio 25 Organización de la Unidad Didáctica..................... 25 Cómo utilizar el libro.............................. 26 Objetivos docentes............................... 27 1. Fundamentos del diseño

Más detalles

1. Módulo de desarrollo Spartan 3 STARTER KIT con un FPGA xc3s200 ft256 2. Software de diseño XILINX ISE versión 10.1

1. Módulo de desarrollo Spartan 3 STARTER KIT con un FPGA xc3s200 ft256 2. Software de diseño XILINX ISE versión 10.1 Universidad Simón Bolívar Departamento de Electrónica y Circuitos EC1723, Circuitos Digitales Trimestre Septiembre-Diciembre 2008 Realización: Semana 8. Miércoles 5 de Noviembre Laboratorio Práctica 4:

Más detalles

TEMA 0: Introducción: Aspectos Tecnológicos y Metodológicos del diseño de sistemas

TEMA 0: Introducción: Aspectos Tecnológicos y Metodológicos del diseño de sistemas TEMA 0: Introducción: Aspectos Tecnológicos y Metodológicos del diseño de sistemas Curso 07/08 Departamento de Arquitectura y Tecnología de Sistemas Informáticos - Facultad de Informática - Universidad

Más detalles

Lenguaje de descripción de Hardware VHSIC

Lenguaje de descripción de Hardware VHSIC Lenguaje de descripción de Hardware VHSIC VHDL Laboratorio de diseño digital Ingeniería Electrónica 5/2/2006 1 VHDL: Antecedentes históricos Herramientas EDA Necesidad de intercambio de información Primera

Más detalles

Prof. Dr.-Ing. F. Schubert VHDL

Prof. Dr.-Ing. F. Schubert VHDL Very high speed integrated circuits Hardware Description Language standardizado en 1987 por I (Institute of lectrical and lectronics ngineers) como I 1076-1987 87 Revisionado en 1993 I 1076-1993 93 SIMULADOR

Más detalles

INGENIERÍA DE COMPUTADORES 3. Solución al Trabajo Práctico - Junio de 2014

INGENIERÍA DE COMPUTADORES 3. Solución al Trabajo Práctico - Junio de 2014 Solución al Trabajo Práctico - Junio de 2014 EJERCICIO 1 En la Figura 1.1 se muestra el símbolo lógico de un circuito digital cuya función es contabilizar el número de señales de entrada que tienen valor

Más detalles

INGENIERÍA DE COMPUTADORES III. Solución al Ejercicio de Autocomprobación 10

INGENIERÍA DE COMPUTADORES III. Solución al Ejercicio de Autocomprobación 10 INGENIERÍA DE COMPUTADORES III Solución al Ejercicio de Autocomprobación 10 PREGUNTA 1 (1.5 puntos) Dibuje el diagrama conceptual correspondiente a: 1.a) (0.75 puntos) Las sentencias if anidadas siguientes:

Más detalles

PRUEBA DE ENTRADA NOMBRE : FECHA: / /2005 CÓDIGO : LAB. Nº: 4 HORARIO: H-441

PRUEBA DE ENTRADA NOMBRE : FECHA: / /2005 CÓDIGO : LAB. Nº: 4 HORARIO: H-441 CÓDIGO : LAB. Nº: 4 HORARIO: H-441 1. Con sumadores completos de 1 bit, elabore un circuito que obtenga el valor absoluto de un número en complemento a 2 de 4 bits. La salida tendrá 4 bits sin signo. (2

Más detalles

PREGUNTAS TIPO. 1) Una con na flecha los conceptos de cada nivel de abstracción en el diseño electrónico. Componentes funcionales interconectados

PREGUNTAS TIPO. 1) Una con na flecha los conceptos de cada nivel de abstracción en el diseño electrónico. Componentes funcionales interconectados INGENIERIA ELECTRONICA Cátedra Técnicas Digitales I 3º Año - 2014 EVALUACION TEORIA 4 TEMA 5A-5B ARITMETICA BINARIA LENGUAJE VHDL TEMA 5A : Lenguaje VHDL PREGUNTAS TIPO 1) Una con na flecha los conceptos

Más detalles

INGENIERÍA DE COMPUTADORES 3. Solución al examen de Junio 2017, Primera Semana

INGENIERÍA DE COMPUTADORES 3. Solución al examen de Junio 2017, Primera Semana Solución al examen de Junio 2017, Primera Semana PREGUNTA 1 (2 puntos) Tomando como base el siguiente código VHDL, dibuje el cronograma de evolución de las señales x1, x2, x3, x4 y x5 entre los instantes

Más detalles

Titulación: Ingeniería Informática Asignatura: Fundamentos de Computadores. Bloque 3: Sistemas secuenciales Tema 9: Módulos secuenciales básicos

Titulación: Ingeniería Informática Asignatura: Fundamentos de Computadores. Bloque 3: Sistemas secuenciales Tema 9: Módulos secuenciales básicos Titulación: Ingeniería Informática Asignatura: Fundamentos de Computadores Bloque 3: Sistemas secuenciales Tema 9: Módulos secuenciales básicos Pablo Huerta Pellitero Luis Rincón Córcoles ÍNDICE Bibliografía

Más detalles

SISTEMA DIDÁCTICO PARA EL AUTOAPRENDIZAJE DEL LENGUAJE VHDL A PARTIR DE LOS BLOQUES FUNCIONALES NORMALIZADOS

SISTEMA DIDÁCTICO PARA EL AUTOAPRENDIZAJE DEL LENGUAJE VHDL A PARTIR DE LOS BLOQUES FUNCIONALES NORMALIZADOS SISTEMA DIDÁCTICO PARA EL AUTOAPRENDIZAJE DEL LENGUAJE VHDL A PARTIR DE LOS BLOQUES FUNCIONALES NORMALIZADOS ENRIQUE MANDADO 1,2, YAGO MANDADO 3, GIRALDO VALDÉS 2,4 y LUIS M. MENÉNDEZ 2,5 1 Departamento

Más detalles

INGENIERÍA DE COMPUTADORES 3. Solución al Trabajo Práctico - Junio de 2017

INGENIERÍA DE COMPUTADORES 3. Solución al Trabajo Práctico - Junio de 2017 Solución al Trabajo Práctico - Junio de 2017 EJERCICIO 1 Se desea diseñar un circuito digital que implemente las funciones F y G cuya tabla de verdad se muestra a continuación, que dependen de las tres

Más detalles

INGENIERÍA DE COMPUTADORES 3. Solución al Trabajo Práctico - Junio de 2013

INGENIERÍA DE COMPUTADORES 3. Solución al Trabajo Práctico - Junio de 2013 Solución al Trabajo Práctico - Junio de 2013 EJERCICIO 1 En la Figura 1.1 se muestra el símbolo lógico de un circuito digital cuya función es encender una luz de aviso de un coche. Este circuito enciende

Más detalles

CIRCUITOS MULTIPLEXORES Y DEMULTIPLEXORES

CIRCUITOS MULTIPLEXORES Y DEMULTIPLEXORES Oscar Ignacio Botero Henao. CIRCUITOS MULTIPLEXORES Y DEMULTIPLEXORES MULTIPLEXOR (MUX) Un Multiplexor (MUX) es un circuito combinacional al que entran varios canales de datos, y sólo salen los datos del

Más detalles

DISEÑO DE CIRCUITOS SECUENCIALES

DISEÑO DE CIRCUITOS SECUENCIALES Sistemas Electrónicos y Automáticos PRÁCTICA 3 DISEÑO DE CIRCUITOS SECUENCIALES 1. OBJETIVO DE LA PRÁCTICA. El objetivo de la presente práctica consiste en modelar el comportamiento de un sistema secuencial

Más detalles

INFORMÁTICA INDUSTRIAL. 3º INGENIERÍA TÉCNICA INDUSTRIAL. ESPECIALIDAD ELECTRÓNICA BOLETÍN 2. CURSO 2003/04

INFORMÁTICA INDUSTRIAL. 3º INGENIERÍA TÉCNICA INDUSTRIAL. ESPECIALIDAD ELECTRÓNICA BOLETÍN 2. CURSO 2003/04 INFORMÁTICA INDUSTRIAL. 3º INGENIERÍA TÉCNICA INDUSTRIAL. ESPECIALIDAD ELECTRÓNICA BOLETÍN 2. CURSO 2003/04 1. Dado un decodificador de dos entradas (DEC 2:4), dar la descripción estructural, la descripción

Más detalles

LABORATORIO DE CIRCUITOS DIGITALES (2005-II) QUINTA CLASE DE VHDL

LABORATORIO DE CIRCUITOS DIGITALES (2005-II) QUINTA CLASE DE VHDL LABORATORIO DE CIRCUITOS DIGITALES (2005-II) QUINTA CLASE DE VHDL MÁQUINAS DE ESTADO FINITAS (FSMs) Máquinas de Moore Máquinas de Mealy MÁQUINAS DE ESTADOS FINITAS (FSMs) Tipos: Máquina de Moore: Las salidas

Más detalles

6. Codificadores. Electrónica Digital. Tema

6. Codificadores. Electrónica Digital. Tema 6. Codificadores Un codificador realiza la función inversa al decodificador, es decir, al activarse una de las entradas, en la salida aparece la combinación binaria correspondiente al número decimal activado

Más detalles

VII. Múltiples Procesos en una Arquitectura. F. Santiago E.

VII. Múltiples Procesos en una Arquitectura. F. Santiago E. VII. Múltiples Procesos en una Arquitectura 1 Introducción El Mundo No es Secuencial. Todos los vehículos se mueven al mismo tiempo, es decir, concurrentemente. Cada vehículo es un sistema, se tienen sistemas

Más detalles