Electrónica Digital. Capítulo 1: Circuitos Digitales. Circuitos combinacionales. (2/3)

Tamaño: px
Comenzar la demostración a partir de la página:

Download "Electrónica Digital. Capítulo 1: Circuitos Digitales. Circuitos combinacionales. (2/3)"

Transcripción

1 Capítulo 1: Circuitos Digitales Circuitos combinacionales (2/3)

2 Índice Introducción Circuitos combinacionales Multiplexores Demultiplexores Decodificadores No excitadores/excitadores Codificadores Con prioridad/sin prioridad Comparadores Aritmética binaria Introducción Operación: suma Circuitos sumadores binarios Operación de resta Operaciones con números codificados en complemento a dos Unidades aritmético-lógicas (UAL, o ALU en inglés) Introducción a la multiplicación 2

3 Introducción SSDD combinacionales y secuenciales Tipos de sistemas digitales: Combinacionales: aquellos circuitos cuyas salidas, en un determinado instante, son función exclusivamente del valor de las entradas en ese instante Entradas iguales dan lugar a las mismas salidas Entradas Circuito combinacional Salidas Secuenciales: aquellos circuitos en los que las salidas dependen de las entradas en el instante actual y en los anteriores Tienen memoria Entradas iguales pueden generar salidas distintas Entradas Circuito combinacional Salidas Memoria 3

4 Introducción Circuitos combinacionales: Definición Circuito combinacional Circuito digital cuyas salidas, en un instante concreto, vienen dadas por las entradas del circuito en ese mismo instante La misma combinación de entradas siempre da lugar a los mismos valores de salida Se suelen describir mediante la tabla de verdad No tienen memoria 4

5 Entradas Introducción Circuitos combinacionales Representación: I I 1 I 2 I N-1 Entradas auxiliares A A 1 A R-1 Sistema combinacional O O 1 O 2 O M-1 Salidas Entrada activa a nivel alto (1) Entrada activa a nivel bajo () Entrada activa a nivel bajo I I I S S 1 S P Entradas de control 1 Nivel alto de tensión (H), cercano a Vcc Nivel bajo de tensión bajo (L), cercano a masa Entrada activa a I nivel bajo 5

6 Circuitos combinacionales Multiplexores Multiplexor o selector de datos: Circuito que tiene N canales de entrada (I i ), un canal de salida (O) y m entradas de selección (S j ) que determinan cuál de los canales de entradas es el que transfiere su información (bits) al canal de salida Se debe cumplir que 2 m N I I 1 I 2 O O = canal entrada elegido por S S m-1 I N-1 Nomenclatura: S m-1 S,, Seleccionan canal entrada deseado (línea fina) compuesta de un bit líneas multibit compuestas por un conjunto de bits 6

7 Circuitos combinacionales Multiplexores Los multiplexores (y muchos más circuitos) pueden tener señal/es de Enable (habilitación): Que permiten o no que el circuito haga su función Pueden ser activas a nivel L o H E (enable) E S m-1 S m-2 S 2 S 1 S O 1 X X X X X Nivel no I I activo I 1 MPX O 1 I 1 1 I I 3 I N I N-2 S m-1 S I N-1 Con el enable desactivado las salidas podrían estar (en lugar de al nivel no activo) en estado de alta impedancia (denominado Z) circuito abierto 7

8 Circuitos combinacionales Multiplexores Para un multiplexor de 2 canales de 4 bit A B E A: [A 3 A 2 A 1 A ] MPX S B: [B 3 B 2 B 1 B ] O: [O 3 O 2 O 1 O ] O E S O 1 X A 1 B O 3 = A 3, O 2 = A 2, O 1 = A 1, O = A O entity Mux4 is Código VHDL port ( A : in bit_vector(3 downto ); -- dato A 4 bits B : in bit_vector(3 downto ); -- dato B 4 bits S, E : in bit; -- selección y enable O : out bit_vector(3 downto )); -- salida 4 bits end Mux4; architecture funcionmux of Mux4 is begin -- funcionmux -- purpose: selección dato A o B en la salida -- type : combinational -- inputs : A, B, S, E -- outputs: O process (A, B, S, E) begin -- process if E = '' then if S = '' then O <= A; else O <= B; end if; else O <= ""; end if; end process; end funcionmux; 8

9 Circuitos combinacionales Demultiplexores Demultiplexor: Circuito que tiene N canales de salida (O i ), un canal de entrada (D) y m entradas de selección (S j ) que determinan a cuál de los canales de salida se transfiere la información (bits) del canal de entrada Se debe cumplir que 2 m N O O 1 E (con enable) O D O 2 D DMX O 1 O N-1 O N-1 S m-1 S S m-1 S 9

10 Circuitos combinacionales Decodificadores Decodificador 2 a 4 Decodificador 3 a 8 Circuitos que activan una combinación de salidas, en función del código recibido en la entrada E E I O O 1 I O O 1 O 2 I1 O 2 O 3 I1 I2 O 3 O 4 O 5 O 6 O 7 1

11 Decodificador 2 a 4 Circuitos combinacionales Decodificadores Tipos de decodificadores: No excitadores ( normales ): m entradas y N salidas (2 m N) de las I que en cada momento se activa solamente la correspondiente a la I1 combinación binaria aplicada a la entrada E O O 1 O 2 O 3 Excitadores (decoders/drivers): para cada combinación de entrada se activa un conjunto determinado de salidas Se usan en sistemas de visualización Sistema digital Driver Sistema de visualización 11

12 Circuitos combinacionales Decodificadores no excitadores Aplicaciones: Selección de dispositivos Ejemplo: Bus de datos Impresora E/S Dirección del puerto E/S Solicitud de E/S A 12 A 13 A 14 A & Bin/Dec E E Dispositivo E/S E Monitor E/S E Modem E/S E Decodificador de dirección de puertos: por medio de las líneas A15 A12 del bus de direcciones elijo a qué dispositivo envío datos (También podría recibir datos) 12

13 Circuitos combinacionales Decodificadores excitadores (drivers) Para cada código de entrada se activa un conjunto determinado de salidas Diseñados para activar, adecuadamente, los sistemas de visualización (visualizadores o displays) Sistema digital Driver Sistema visualización 13

14 Circuitos combinacionales Displays 7 segmentos Displays de 7 segmentos: ánodo: a potencial más positivo cátodo: a potencial más negativo Típicamente cada segmento (a,b,c g) a iluminar es un diodo led: dispositivo (de dos terminales: ánodo y cátodo) que al polarizarse adecuadamente emite luz Tienen un consumo elevado: Diodo requiere I D mínima Caída de tensión en diodo V D Ejem polarización de diodo led: Vcc V R I D D V cc I D V D R (limita corriente) Si ID=1mA; Vcc=5V; VD=1,5V R? 14

15 Circuitos combinacionales Displays 7 segmentos Displays de 7 segmentos: De cátodo común (los 7 cátodos unidos): a b c d e f g Excitaremos con un nivel alto en a,bg Terminal común: se llevará a masa De ánodo común: Excitaremos con un nivel bajo en a,bg Colocación real V CC de los segmentos Term común: a Vcc a b c d e f g Sistema digital Driver Sistema visualización 15

16 Circuitos combinacionales Decodificadores excitadores (drivers) Decodificadores BCD-7 segmentos: funcionamiento D C B A driver D C B A a b c d e f g a g Código VHDL (ejemplo) entity bcd_7seg is port ( BCD : in bit_vector(3 downto ); -- entradas BCD DISPLAY : out bit_vector(6 downto )); -- salidas a los 7 segmentos end bcd_7seg; architecture RTL of bcd_7seg is begin -- RTL -- purpose: funcionamiento del decodificador -- type : combinational -- inputs : BCD -- outputs: DISPLAY process (BCD) begin -- process case (BCD) is when "" => DISPLAY <= "1" ; when "1" => DISPLAY <= "11111" ; when "1" => DISPLAY <= "11" ; when "11" => DISPLAY <= "11" ; when "1" => DISPLAY <= "1111" ; when "11" => DISPLAY <= "11" ; when "11" => DISPLAY <= "1" ; when "111" => DISPLAY <= "1111" ; when "1" => DISPLAY <= "" ; when "11" => DISPLAY <= "11" ; when others => DISPLAY <= "11"; end case; end process; end RTL; 16

17 Entradas Circuitos combinacionales Comparadores Se activa la salida correspondiente a la situación indicada por las entradas A A 1 A N-1 B B 1 B N-1 Comparador N bits A>B A=B A<B Salidas A B A 1 1 B 1 1 Comparador de 1 bit A>B 1 A=B 1 1 A<B 1 A>B A=B A<B Comparador de 1 bit 17

18 Circuitos combinacionales Comparadores comerciales Comparador 2 números de 4 bits (con entradas en cascada) A3 A2 A1 A compar B3 OA>B B2 OA<B B1 OA=B B IA>B IA<B IA=B Las entradas IA>B, IA<B IA=B sólo se verifican en el caso de que el nº A = nº B (sus 4 bits iguales) Qué nivel pondría en IA>B, IA<B e IA=B para que se active O A=B? 18

19 Circuitos combinacionales Aritmética Binaria Objetivos Conocer las operaciones básicas de la aritmética binaria (suma,resta, multiplicación)!ojo! No confundir con las operaciones lógicas (suma y producto lógicos) Conocer el funcionamiento y diseño de los principales circuitos combinacionales aritméticos (sumador/restador, UAL) Conocer los circuitos comerciales correspondientes a las funciones descritas Describir distintas aplicaciones de cada uno de ellos Circuitos combinacionales: Aritmética binaria 2

20 Aritmética binaria Operación: suma Circuitos sumadores binarios Sumador completo: Suma dos bits con acarreo de entrada, y generando acarreo salida X Y CIN S COUT Código VHDL Circuitos combinacionales: Aritmética binaria 21

21 Aritmética binaria Unidades aritmético-lógicas Las unidades aritmético lógicas (UAL/ALU): Permiten realizar múltiples operaciones: Aritméticas y lógicas Terminales: Operandos (A y B) Entradas de control (S) Resultado (F) Salidas auxiliares (Carry, Overflow ) Circuitos combinacionales: Aritmética binaria 22

22 Aritmética binaria Unidades aritmético-lógicas Operaciones: Aritméticas: Suma/Resta Incremento/Decremento Lógicas (no hay acarreo, operación bit a bit): Operandos sin modificar AND/NOR/XOR/NOT Combinaciones Combinación de aritméticas y lógicas Circuitos combinacionales: Aritmética binaria 23

23 Aritmética binaria Unidades aritmético-lógicas comerciales UAL con nºs de 4 bits operandos resultado UAL entradas de selección de operación Lógicas Aritmét F[3]= Ojo! PLUS CN F[3]=1111 Recuerde!: operando con números codificados en complemento a dos: A menos B A LIMPIAR más PREESTABLECER ( B) A B 1 Circuitos combinacionales: Aritmética binaria 24

Sistemas Combinacionales

Sistemas Combinacionales Sistemas Combinacionales Tipos de Sistemas Digitales Puertas Lógicas Bloques Combinacionales Multiplexores Decodificadores/demultiplexores Decodificadores BCD a 7 segmentos Codificadores Comparadores Sumadores

Más detalles

8. Multiplexores Digitales

8. Multiplexores Digitales 8. Multiplexores Digitales El multiplexor permite seleccinar del total de líneas de entrada una única y trasladar la información que conlleva dicha línea a la salida del circuito. Suele utilizarse para

Más detalles

Sistemas Electrónicos Digitales Curso de adaptación al Grado

Sistemas Electrónicos Digitales Curso de adaptación al Grado Práctica Práctica 2 Sistemas Electrónicos Digitales Curso de adaptación al Grado Sistemas combinacionales con VHDL Universidad de Alicante Ángel Grediaga 2 Índice INTRODUCCIÓN... 3 2 CIRCUITOS COMBINACIONALES...

Más detalles

Diseño de Sistemas Electrónicos Digitales Avanzados

Diseño de Sistemas Electrónicos Digitales Avanzados Práctica 1 Práctica Diseño de Sistemas Electrónicos Digitales Avanzados Sistemas combinacionales con VHDL Universidad de Alicante Ángel Grediaga Índice 1 Introducción... 3 Circuitos combinacionales...

Más detalles

M. C. Felipe Santiago Espinosa

M. C. Felipe Santiago Espinosa Circuitos lógicos de Mediana Escala de Integración (MSI) M. C. Felipe Santiago Espinosa Cubículo 9 Instituto de Electrónica y Mecatrónica fsantiag@mixteco.utm.mx Abril 28 Contenido En esta presentación

Más detalles

Los multiplexores son dispositivos de varias entradas que permiten seleccionar la señal que llega a una de éstas y transmitirla a la salida.

Los multiplexores son dispositivos de varias entradas que permiten seleccionar la señal que llega a una de éstas y transmitirla a la salida. Los multiplexores son dispositivos de varias entradas que permiten seleccionar la señal que llega a una de éstas y transmitirla a la salida. En electrónica digital un multiplexor equivale a un conmutador.

Más detalles

^6+1 2^5+1 2^2+1 2^1+1 2^ ^6+1 2^0-65.

^6+1 2^5+1 2^2+1 2^1+1 2^ ^6+1 2^0-65. ELECTRÓNICA DIGITAL 23-I-2014 PREGUNTAS TEÓRICO PRÁCTICAS: 1. Determinar el valor decimal de los números expresados en Complemento a 2. (0.25 puntos). 10011001 10011000 01100111 1 2^6+1 2^5+1 2^2+1 2^1+1

Más detalles

Tema 5. Circuitos MSI

Tema 5. Circuitos MSI Tema 5. Circuitos MSI Multiplexores. Decodificadores/demultiplexores. Implementaciones de funciones lógicas con multiplexores y decodificadores. Codificadores con prioridad. Sumadores. Comparadores. Diseño

Más detalles

TEMA 3 BLOQUES COMBINACIONALES.

TEMA 3 BLOQUES COMBINACIONALES. TEMA 3 BLOQUES COMBINACIONALES. Objetivos. Describir la diferencia entre circuitos combinacionales y secuenciales. Interpretar la función de un multiplexor, un demultiplexor, un codificador y un decodificador.

Más detalles

Sesión 1: Introducción al lenguaje VHDL. S1 1 Laboratorio de Estructura de Computadores Curso 04 / 05

Sesión 1: Introducción al lenguaje VHDL. S1 1 Laboratorio de Estructura de Computadores Curso 04 / 05 Sesión 1: Introducción al lenguaje VHDL S1 1 AREAS DE APLICACION DE VHDL Síntesis i1 suma Documentación Diagnosis de Fallos i1 i2 - Circuito semisumador Componentes: puerta AND puerta OR puerta XOR......

Más detalles

ELECTRÓNICA DIGITAL. Ejercicios propuestos Tema 3

ELECTRÓNICA DIGITAL. Ejercicios propuestos Tema 3 ELECTRÓNICA DIGITAL Ejercicios propuestos Tema Ejercicio. Convertir a binario natural, los siguientes números expresados en formato decimal. Puedes predecir a priori los bits que necesitarás para la representación

Más detalles

Circuitos Electrónicos Digitales E.T.S.I. Telecomunicación Universidad Politécnica de Madrid. Circuitos combinacionales

Circuitos Electrónicos Digitales E.T.S.I. Telecomunicación Universidad Politécnica de Madrid. Circuitos combinacionales Circuitos Electrónicos Digitales E.T.S.I. Telecomunicación Universidad Politécnica de Madrid Circuitos combinacionales Puertas lógicas simples y complejas. Multiplexores. Elementos varios: codificadores

Más detalles

Decodificadores/Demultiplexores. Grupo 9 Javier de Gregorio Menezo Laro de la Fuente Lastra Raúl Fernández Díaz

Decodificadores/Demultiplexores. Grupo 9 Javier de Gregorio Menezo Laro de la Fuente Lastra Raúl Fernández Díaz Decodificadores/Demultiplexores Grupo 9 Javier de Gregorio Menezo Laro de la Fuente Lastra Raúl Fernández Díaz Decodificadores Un decodificador (DEC) es un circuito combinacional que convierte un código

Más detalles

FUNDAMENTOS DE SISTEMAS DIGITALES. Tema 3: Lógica combinacional (II): Ruta de datos

FUNDAMENTOS DE SISTEMAS DIGITALES. Tema 3: Lógica combinacional (II): Ruta de datos FUNDAMENTOS DE SISTEMAS DIGITALES Tema 3: Lógica combinacional (II): Ruta de datos 1 Programa 1. Circuitos selectores de datos (multiplexores). 2. Demultiplexores. 3. Codificadores con prioridad. 4. Amplificadores

Más detalles

5. Decodificadores. Salida _1= A A A A = m = M ... Electrónica Digital. Tema

5. Decodificadores. Salida _1= A A A A = m = M ... Electrónica Digital. Tema 5. Decodificadores La función de un decodificador es la siguiente: ante una combinación concreta binaria de entrada (correspondiente a una combinación de algún código binario), activar una salida correspondiente

Más detalles

TEMA 5.3 SISTEMAS DIGITALES

TEMA 5.3 SISTEMAS DIGITALES TEMA 5.3 SISTEMAS DIGITALES TEMA 5 SISTEMAS DIGITALES FUNDAMENTOS DE ELECTRÓNICA 08 de enero de 2015 TEMA 5.3 SISTEMAS DIGITALES Introducción Sistemas combinacionales Sistemas secuenciales TEMA 5.3 SISTEMAS

Más detalles

VHDL. Lenguaje de descripción hardware

VHDL. Lenguaje de descripción hardware VHDL. Lenguaje de descripción hardware Modelado combinacional 26 A.G.O. All Rights Reserved Modelado combinacional El modelado de sistemas combinacionales es muy sencillo, puesto que en todo momento las

Más detalles

Sistemas Digitales I

Sistemas Digitales I UNIVERSIDAD INDUSTRIAL DE SANTANDER Sistemas Digitales I Taller No1 Profesor: Carlos A. Fajardo Mayo de 2015 Temas: Representación digital de los Datos, Algebra de Boole, Funciones Lógicas, Introducción

Más detalles

6. Codificadores. Electrónica Digital. Tema

6. Codificadores. Electrónica Digital. Tema 6. Codificadores Un codificador realiza la función inversa al decodificador, es decir, al activarse una de las entradas, en la salida aparece la combinación binaria correspondiente al número decimal activado

Más detalles

Montaje y evaluación de sistemas digitales combinacionales.

Montaje y evaluación de sistemas digitales combinacionales. PRÁCTICA 3 Montaje y evaluación de sistemas digitales combinacionales. 1. Objetivos El objetivo de la siguiente práctica es familiarizar al alumno con el manejo de sistemas combinacionales, además de:

Más detalles

Sumadores. Tipos de sumadores: Half-adder. Full-Adder. Carry-Look-Ahead. Carry-select.

Sumadores. Tipos de sumadores: Half-adder. Full-Adder. Carry-Look-Ahead. Carry-select. Sumadores En electrónica un sumador es un circuito lógico que calcula la operación suma. En los computadores modernos se encuentra en lo que se denomina Unidad aritmético lógica (ALU). Generalmente realizan

Más detalles

ELECTRÓNICA DIGITAL 24-I Determinar el valor decimal de los números expresados en Complemento a 2. (0.25 puntos).

ELECTRÓNICA DIGITAL 24-I Determinar el valor decimal de los números expresados en Complemento a 2. (0.25 puntos). ELECTRÓNICA DIGITAL 24-I-2014 PREGUNTAS TEÓRICO PRÁCTICAS: 1. Determinar el valor decimal de los números expresados en Complemento a 2. (0.25 puntos). 11111100-4 10101010-86 01010110 86 2. Determinar el

Más detalles

Sistemas Digitales - Examen temas 1, 2 y 3 - (6 de Abril 2016)

Sistemas Digitales - Examen temas 1, 2 y 3 - (6 de Abril 2016) EXAMEN RESUELTO Problema-. Modelo-B (Calificación puntos) Se quiere diseñar un circuito digital, tal que, dado un número en código octal de una sola cifra en su entrada, este circuito: ) Indique, si el

Más detalles

Ing. Yesid E. Santafe Ramon CIRCUITOS LÓGICOS COMBINATORIOS

Ing. Yesid E. Santafe Ramon CIRCUITOS LÓGICOS COMBINATORIOS Ing. Yesid E. Santafe Ramon CIRCUITOS LÓGICOS COMBINATORIOS La evolución de la electrónica digital ha llevado a la comercialización de circuitos integrados de media escala de integración (MSI) que representan

Más detalles

Sistemas Digitales - Examen temas 1, 2 y 3 - (6 de Abril 2016)

Sistemas Digitales - Examen temas 1, 2 y 3 - (6 de Abril 2016) Sistemas Digitales - Examen temas, 2 y 3 - (6 de Abril 206) EXAMEN RESUELTO Problema-. Modelo-A (Calificación 0 puntos) Se quiere diseñar un circuito digital, tal que, dado un número en código octal de

Más detalles

LABORATORIO DE CIRCUITOS DIGITALES (2005-II) SEGUNDA CLASE DE VHDL

LABORATORIO DE CIRCUITOS DIGITALES (2005-II) SEGUNDA CLASE DE VHDL LABORATORIO DE CIRCUITOS DIGITALES (25-II) SEGUNDA CLASE DE VHDL TIPOS y MODOS DE DATOS DESCRIPCIÓN CONCURRENTE Sentencias de asignación: with select, when - else DESCRIPCIÓN COMPORTAMENTAL Procesos asíncronos

Más detalles

Tema 4 - Bloques combinacionales

Tema 4 - Bloques combinacionales - Bloques combinacionales Eduardo Rodríguez Martínez Departamento de Electrónica División de Ciencias Básicas e Ingeniería Universidad Autónoma Metropolitana Unidad Azcapotzalco Email: erm@correo.azc.uam.mx

Más detalles

CURSO: ELECTRÓNICA DIGITAL UNIDAD 2: SISTEMAS COMBINATORIOS - TEORÍA PROFESOR: JORGE ANTONIO POLANÍA 1. CIRCUITOS ARITMÉTICOS

CURSO: ELECTRÓNICA DIGITAL UNIDAD 2: SISTEMAS COMBINATORIOS - TEORÍA PROFESOR: JORGE ANTONIO POLANÍA 1. CIRCUITOS ARITMÉTICOS CURSO: ELECTRÓNICA DIGITAL UNIDAD 2: SISTEMAS COMBINATORIOS - TEORÍA PROFESOR: JORGE ANTONIO POLANÍA En Electrónica digital se tienen sistemas combinatorios y sistemas secuenciales. Un sistema combinatorio

Más detalles

HOJA DE PROBLEMAS 6: MÓDULOS COMBINACIONALES BÁSICOS

HOJA DE PROBLEMAS 6: MÓDULOS COMBINACIONALES BÁSICOS f Universidad Rey Juan Carlos Grado en Ingeniería Informática Fundamentos de Computadores HOJA DE PROBLEMAS 6: MÓDULOS COMBINACIONALES BÁSICOS. Dado el módulo combinacional de la figura se pide dibujar

Más detalles

ELECTRÓNICA. Unidad 2: Circuitos combinacionales. Primera Parte

ELECTRÓNICA. Unidad 2: Circuitos combinacionales. Primera Parte ELECTRÓNICA Unidad 2: Circuitos combinacionales Primera Parte Unidad 2: Circuitos combinacionales 1. Introducción a los circuitos combinacionales. 2. Codificadores y decodificadores. 2.1. Codificadores

Más detalles

Tema 3. 2 Sistemas Combinacionales

Tema 3. 2 Sistemas Combinacionales Tema 3. 2 Sistemas Combinacionales Índice Circuitos combinacionales: concepto, análisis y síntesis. Métodos de simplificación de funciones lógicas. Estructuras combinacionales básicas Multiplexores Demultiplexores

Más detalles

DECODIFICADORES. Para cualquier código dado en las entradas solo se activa una de las N posibles salidas. 2 N

DECODIFICADORES. Para cualquier código dado en las entradas solo se activa una de las N posibles salidas. 2 N DECODIFICADORES Tienen como función detectar la presencia de una determinada combinación de bits en sus entradas y señalar la presencia de este código mediante un cierto nivel de salida. Un decodificador

Más detalles

Tema 6: Circuitos Digitales BásicosB. Escuela Politécnica Superior Ingeniería Informática Universidad Autónoma de Madrid

Tema 6: Circuitos Digitales BásicosB. Escuela Politécnica Superior Ingeniería Informática Universidad Autónoma de Madrid Tema 6: Circuitos Digitales BásicosB Ingeniería Informática Universidad utónoma de Madrid O B J E T I V O S Circuitos digitales básicosb Comprender las funciones lógicas elementales Habilidad para diseñar

Más detalles

Subsistemas aritméticos y lógicos. Tema 10

Subsistemas aritméticos y lógicos. Tema 10 Subsistemas aritméticos y lógicos Tema 10 Qué sabrás al final del capítulo? Diseño de Sumadores Binarios Semisumadores Sumador completo Sumador con acarreo serie Sumador / Restador Sumador BCD Diseño de

Más detalles

INDICE. Definición de codificador Tipos de codificadores. Ejercicios. Referencias. Codificadores sin prioridad Codificadores con prioridad

INDICE. Definición de codificador Tipos de codificadores. Ejercicios. Referencias. Codificadores sin prioridad Codificadores con prioridad INDICE Definición de codificador Tipos de codificadores Codificadores sin prioridad Codificadores con prioridad Circuito comercial de la familia 74 Descripción VHDL del dispositivo Ejemplos de aplicaciones

Más detalles

Bloques estandarizados

Bloques estandarizados Bloques estandarizados Mario Medina C. mariomedina@udec.cl Más allá de las puertas lógicas Complejidad creciente de diseños hace necesario buscar nuevos niveles de abstracción por sobre las compuertas

Más detalles

Módulos combinacionales básicos. Tema 7

Módulos combinacionales básicos. Tema 7 Módulos combinacionales básicos Tema 7 Qué sabrás al final del capítulo? Funcionamiento de los módulos combinacionales básicos: Codificadores Decodificadores Multiplexores Demultiplexores Redes de módulos

Más detalles

Tema 5. SISTEMAS COMBINACIONALES. Tema 5. Sistemas combinacionales por Angel Redondo I.E.S Isaac Peral Torrejon de Ardoz 1

Tema 5. SISTEMAS COMBINACIONALES. Tema 5. Sistemas combinacionales por Angel Redondo I.E.S Isaac Peral Torrejon de Ardoz 1 Tema 5. SISTEMAS COMBINACIONALES Tema 5. Sistemas combinacionales por Angel Redondo I.E.S Isaac Peral Torrejon de Ardoz SISTEMAS COMBINACIONALES Sistemas combinacionales. Codificadores Decodificadores

Más detalles

INTRODUCCIÓN AL LENGUAJE VHDL PARA CIRCUITOS

INTRODUCCIÓN AL LENGUAJE VHDL PARA CIRCUITOS INTRODUCCIÓN AL LENGUAJE VHDL PARA CIRCUITOS COMBINACIONALES UNIDAD VI Diseño Digital HLD Opción de diseño para sistemas electrónicos elaborados. Integrar más dispositivos en un circuito integrado. VHDL

Más detalles

Bloques combinacionales estándar

Bloques combinacionales estándar Universidad Rey Juan Carlos Bloques combinacionales estándar Norberto Malpica norberto.malpica@urjc.es Ingeniería de Tecnologías Industriales Circuitos combinacionales 1 Contenido 1. Introducción 2. Codificadores

Más detalles

PRÁCTICA 1b: SUMA Y RESTA BINARIA

PRÁCTICA 1b: SUMA Y RESTA BINARIA DEPARTAMENTO DE AUTOMÁTICA UAH GRADO EN INGENIERÍA INFORMÁTICA OBJETIVOS PRÁCTICA 1b: SUMA Y RESTA BINARIA ü ü Iniciar y familiarizar al alumno con las operaciones básicas (suma y resta) con números binarios.

Más detalles

Circuitos electrónicos digitales

Circuitos electrónicos digitales Circuitos electrónicos digitales Universidad de Sevilla Tema 6 Unidades aritméticas y lógicas Índice Introducción Aritmética binaria Circuitos sumadores básicos Sumador de n bits Sumador/Restador Unidad

Más detalles

Circuitos combinacionales

Circuitos combinacionales Circuitos combinacionales Luis ntrena, Celia López, Mario García, nrique an Millán Universidad Carlos III de Madrid Circuitos combinacionales y secuenciales l Combinacionales: alida depende sólo de la

Más detalles

Introducción a VHDL Circuitos Secuenciales

Introducción a VHDL Circuitos Secuenciales Introducción a VHDL Circuitos Secuenciales Sistemas Digitales (23357) Profesor: Carlos A. Fajardo (cafajar@uis.edu.co) Actualizado Agosto 2013 Proceso Un proceso en VHDL es una construcción que contiene

Más detalles

Componentes Combinacionales. Circuitos Digitales, 2º de Ingeniero de Telecomunicación ETSIT ULPGC

Componentes Combinacionales. Circuitos Digitales, 2º de Ingeniero de Telecomunicación ETSIT ULPGC Componentes Combinacionales Circuitos Digitales, 2º de Ingeniero de Telecomunicación ETSIT ULPGC Componentes Combinacionales Se emplean para: Transformación de datos Operaciones aritméticas (suma, resta...)

Más detalles

PRUEBA DE ENTRADA E P3 P2

PRUEBA DE ENTRADA E P3 P2 PONTIFICIA UNIVRSIDAD CATÓLICA DL PRÚ STUDIOS GNRALS CINCIAS DANIL LLAMOCCA PRUBA D NTRADA NOMBR : FCHA: / /5 CÓDIGO : LAB. Nº: HORARIO: H-44. Complete el diagrama de tiempos del siguiente circuito (determine

Más detalles

INGENIERÍA DE COMPUTADORES 3. Solución al examen de Junio 2017, Primera Semana

INGENIERÍA DE COMPUTADORES 3. Solución al examen de Junio 2017, Primera Semana Solución al examen de Junio 2017, Primera Semana PREGUNTA 1 (2 puntos) Tomando como base el siguiente código VHDL, dibuje el cronograma de evolución de las señales x1, x2, x3, x4 y x5 entre los instantes

Más detalles

CURSO: ELECTRÓNICA DIGITAL SISTEMAS COMBINATORIOS - TEORÍA PROFESOR: ING. JORGE ANTONIO POLANÍA

CURSO: ELECTRÓNICA DIGITAL SISTEMAS COMBINATORIOS - TEORÍA PROFESOR: ING. JORGE ANTONIO POLANÍA CURSO: ELECTRÓNICA DIGITAL SISTEMAS COMBINATORIOS - TEORÍA PROFESOR: ING. JORGE ANTONIO POLANÍA En esta unidad usted aprenderá a utilizar los diferentes circuitos integrados que se han fabricado para resolver

Más detalles

Componentes indispensables Un (1) 74LS181 ALU Un (1) 74 LS 47 Un display 7seg Ánodo Común

Componentes indispensables Un (1) 74LS181 ALU Un (1) 74 LS 47 Un display 7seg Ánodo Común Universidad Simón Bolívar Departamento de Electrónica y Circuitos EC1723, Circuitos Digitales Trimestre Septiembre-Diciembre 2012 Laboratorio - Práctica 2: Circuitos Combinatorios de Media Escala de Integración

Más detalles

Comparador de 1 bit Tabla de verdad y circuito lógico A B A > B A = B A < B

Comparador de 1 bit Tabla de verdad y circuito lógico A B A > B A = B A < B Comparadores Índice Descripción Comparador de 1 bit Tabla de verdad Circuito lógico Comparador de N bits Circuito comercial 74LS85 Tabla de verdad Circuito lógico Comparador 8 bits serie Comparador 16

Más detalles

Código concurrente en VHDL [5]

Código concurrente en VHDL [5] Código concurrente en VHDL [5] Lab. Sistemas Digitales Universidad Técnica Particular de Loja Prof: Diego Barragán Guerrero Oct. 2014 - Feb. 2015 Lab. Sistemas Digitales (UTPL) IET Oct. 2014 - Feb. 2015

Más detalles

Bloques Combinacionales

Bloques Combinacionales Bloques Combinacionales 1. Comparadores 2. Sumadores y Semisumadores 3. Multiplexores Demultiplexores 4. Codificadores Decodificadores 5. Convertidores de código 6. Generadores /comprobadores de paridad

Más detalles

Unidad Didáctica. Codificadores

Unidad Didáctica. Codificadores Unidad Didáctica Codificadores rograma de Formación bierta y Flexible Obra colectiva de FONDO FORMACION Coordinación Diseño y maquetación Servicio de Producción Didáctica de FONDO FORMACION (Dirección

Más detalles

INGENIERÍA DE COMPUTADORES III. Solución al Ejercicio de Autocomprobación 3

INGENIERÍA DE COMPUTADORES III. Solución al Ejercicio de Autocomprobación 3 INGENIERÍA DE COMPUTADORES III Solución al Ejercicio de Autocomprobación 3 PREGUNTA (2 puntos).a) ( punto) Dibuje el diagrama conceptual correspondiente al fragmento de código Fragmento..b) ( punto) Dibuje

Más detalles

Introducción a los sistemas digitales y los microprocesadores

Introducción a los sistemas digitales y los microprocesadores Introducción a los sistemas digitales y los microprocesadores Luis Entrena, Celia López, Mario García, Enrique San Millán Universidad Carlos III de Madrid Índice Estructura de un sistema digital La ruta

Más detalles

GUIA DIDACTICA DE ELECTRONICA N º12 1. IDENTIFICACION ASIGNATURA GRADO PERIODO I.H.S. TECNOLOGIA ONCE CUARTO 6

GUIA DIDACTICA DE ELECTRONICA N º12 1. IDENTIFICACION ASIGNATURA GRADO PERIODO I.H.S. TECNOLOGIA ONCE CUARTO 6 1. IDENTIFICACION ASIGNATURA GRADO PERIODO I.H.S. TECNOLOGIA ONCE CUARTO 6 DOCENTE(S) DEL AREA:NILSON YEZID VERA CHALA COMPETENCIA: USO Y APROPIACION DE LA TECNOLOGIA NIVEL DE COMPETENCIA: INTERPRETATIVA

Más detalles

INFORMÁTICA INDUSTRIAL. 3º INGENIERÍA TÉCNICA INDUSTRIAL. ESPECIALIDAD ELECTRÓNICA BOLETÍN 2. CURSO 2003/04

INFORMÁTICA INDUSTRIAL. 3º INGENIERÍA TÉCNICA INDUSTRIAL. ESPECIALIDAD ELECTRÓNICA BOLETÍN 2. CURSO 2003/04 INFORMÁTICA INDUSTRIAL. 3º INGENIERÍA TÉCNICA INDUSTRIAL. ESPECIALIDAD ELECTRÓNICA BOLETÍN 2. CURSO 2003/04 1. Dado un decodificador de dos entradas (DEC 2:4), dar la descripción estructural, la descripción

Más detalles

ANALISIS Y DISEÑO DE CIRCUITOS ARITMÉTICOS

ANALISIS Y DISEÑO DE CIRCUITOS ARITMÉTICOS ANALISIS Y DISEÑO DE CIRCUITOS ARITMÉTICOS Suma y resta binaria Diseño de un sumador Análisis del sumador Análisis de un sumador/restador Suma y resta en BCD Suma y resta en BCD exceso de tres Análisis

Más detalles

DE INGENIERÍA TÉCNICA INDUSTRIAL. ESPECIALIDAD EN ELECTRÓNICA INDUSTRIAL

DE INGENIERÍA TÉCNICA INDUSTRIAL. ESPECIALIDAD EN ELECTRÓNICA INDUSTRIAL EJERCICIOS DE SISTEMAS ELECTRÓNICOS DIGITALES: HOJA 2 2 o CURSO DE INGENIERÍA TÉCNICA INDUSTRIAL. ESPECIALIDAD EN ELECTRÓNICA INDUSTRIAL LENGUAJES DE ALTO NIVEL 1) Realiza en RTL un comparador de dos buses

Más detalles

Electrónica Digital. Ing. Javier Soto Vargas Ph.D. ECI TDDA(M) - Javier Soto 1

Electrónica Digital. Ing. Javier Soto Vargas Ph.D. ECI TDDA(M) - Javier Soto 1 Electrónica Digital Ing. Javier Soto Vargas Ph.D. javier.soto@escuelaing.edu.co ECI TDDA(M) - Javier Soto 1 Sistema Digital Manejo de elementos discretos de información. Elementos discretos: Señales eléctricas.

Más detalles

DESCRIPCIÓN DE CIRCUITOS DIGITALES

DESCRIPCIÓN DE CIRCUITOS DIGITALES DESCRIPCIÓN DE CIRCUITOS DIGITALES Circuitos combinacionales Circuitos secuenciales Organización del diseño. Diseño genérico Operaciones iterativas Autores: Luis Entrena, Celia López, Mario García, Enrique

Más detalles

Otros circuitos digitales. Actividad de apertura. Circuitos lógicos secuenciales.

Otros circuitos digitales. Actividad de apertura. Circuitos lógicos secuenciales. Otros circuitos digitales En esta unidad aprenderás: El funcionamiento de los codificadores y decodificadores Multiplexor y Demultiplexor Convertidor Digital-Análogo y Análogo-Digital UNIDAD 4 Actividad

Más detalles

INGENIERÍA DE COMPUTADORES III. Solución al Ejercicio de Autocomprobación 6

INGENIERÍA DE COMPUTADORES III. Solución al Ejercicio de Autocomprobación 6 INGENIERÍA DE COMPUTADORES III Solución al Ejercicio de Autocomprobación 6 PREGUNTA 1 (2 puntos) Tomando como base el siguiente código VHDL, dibuje el cronograma de evolución de las señales x, z1,z2 y

Más detalles

ELECTRÓNICA DIGITAL. Ejercicios propuestos Tema 5

ELECTRÓNICA DIGITAL. Ejercicios propuestos Tema 5 ELECTRÓNICA DIGITAL Ejercicios propuestos Tema 5 Ejercicio 1. Encontrar el diagrama de estados que describe el funcionamiento del sistema secuencial de la Fig.1. Dicho sistema posee dos señales de entrada,

Más detalles

Tema 3. Tema 3: Lógica Combinacional (II): Ruta de Datos.

Tema 3. Tema 3: Lógica Combinacional (II): Ruta de Datos. Tema 3 Tema 3: Lógica Combinacional (II): Ruta de Datos. 6.1 Circuitos selectores de datos (Multiplexor) Multiplexor = circuito con N entradas, 1 salida y n patillas de selección, tal que 2 n =N. Con

Más detalles

FUNDAMENTOS DE COMPUTADORES INGENIERÍA TÉCNICA INFORMÁTICA DE GESTIÓN

FUNDAMENTOS DE COMPUTADORES INGENIERÍA TÉCNICA INFORMÁTICA DE GESTIÓN FUNDAMENTOS DE COMPUTADORES INGENIERÍA TÉCNICA INFORMÁTICA DE GESTIÓN LISTADO DE PRÁCTICAS CURSO 2005/2006 Practicas de Fundamentos de Computadores (05/06) 2 Práctica 1 Construcción de Funciones Lógicas

Más detalles

Tema 3. Operaciones aritméticas y lógicas

Tema 3. Operaciones aritméticas y lógicas Tema 3. Operaciones aritméticas y lógicas Estructura de Computadores I. T. Informática de Gestión / Sistemas Curso 2008-2009 Transparencia: 2 / 28 Índice Operaciones lógicas: OR, AND, XOR y NOT Operaciones

Más detalles

Universidad Nacional de Quilmes

Universidad Nacional de Quilmes Universidad Nacional de Quilmes ASIGNATURA : TECNICAS DIGITALES CURSO :... CICLO LECTIVO : 2010 T. P. Nro. : II NOMBRE DEL T. P. : MBINACIONAL II: Decodificadores, Multiplexor, DeMultiplexor, Comparador,

Más detalles

HOJA DE PROBLEMAS 6: MÓDULOS COMBINACIONALES BÁSICOS

HOJA DE PROBLEMAS 6: MÓDULOS COMBINACIONALES BÁSICOS f Universidad Rey Juan Carlos Grado en Ingeniería de Computadores Tecnología de Computadores HOJA DE PROBLEMAS 6: MÓDULOS COMBINACIONALES BÁSICOS. Dado el módulo combinacional de la figura se pide dibujar

Más detalles

PRUEBA DE ENTRADA NOMBRE : FECHA: / /2005 CÓDIGO : LAB. Nº: 4 HORARIO: H-441

PRUEBA DE ENTRADA NOMBRE : FECHA: / /2005 CÓDIGO : LAB. Nº: 4 HORARIO: H-441 CÓDIGO : LAB. Nº: 4 HORARIO: H-441 1. Con sumadores completos de 1 bit, elabore un circuito que obtenga el valor absoluto de un número en complemento a 2 de 4 bits. La salida tendrá 4 bits sin signo. (2

Más detalles

CIRCUITOS MULTIPLEXORES Y DEMULTIPLEXORES

CIRCUITOS MULTIPLEXORES Y DEMULTIPLEXORES Oscar Ignacio Botero Henao. CIRCUITOS MULTIPLEXORES Y DEMULTIPLEXORES MULTIPLEXOR (MUX) Un Multiplexor (MUX) es un circuito combinacional al que entran varios canales de datos, y sólo salen los datos del

Más detalles

INGENIERÍA DE COMPUTADORES 3. Solución al examen de Junio 2013, Segunda Semana

INGENIERÍA DE COMPUTADORES 3. Solución al examen de Junio 2013, Segunda Semana Solución al examen de Junio 2013, Segunda Semana PREGUNTA 1 (2 puntos) Tomando como base el siguiente código VHDL, dibuje el cronograma de evolución de las señales x1, x2, x3, x4, x5 entre los instantes

Más detalles

CIRCUITOS MSI. Decodificadores. Decodificadores. Decodificadores. Circuitos Digitales EC1723

CIRCUITOS MSI. Decodificadores. Decodificadores. Decodificadores. Circuitos Digitales EC1723 CIRCUITOS MSI Circuitos Digitales EC2 Decodificadores Un decodificador N:2 N es un circuito combinatorio con N entradas y 2 N salidas. Cada salida se activa cuando las entradas, interpretadas como un número

Más detalles

Circuitos Electrónicos Digitales. Subsistemas Combinacionales. Departamento de Tecnología Electrónica Universidad de Sevilla

Circuitos Electrónicos Digitales. Subsistemas Combinacionales. Departamento de Tecnología Electrónica Universidad de Sevilla Circuitos Electrónicos Digitales Subsistemas Combinacionales Índice.Subsistemas combinacionales 2.Subsistemas de propósito específico 3.Subsistemas de propósito general Subsistemas Combinacionales Facilitan

Más detalles

Circuitos Lógicos Combinatorios. Ing. Jorge Manrique 2004 Sistemas Digitales 1

Circuitos Lógicos Combinatorios. Ing. Jorge Manrique 2004 Sistemas Digitales 1 Circuitos Lógicos Combinatorios Ing. Jorge Manrique 2004 Sistemas Digitales 1 Circuitos Combinatorios Un circuito combinatorio es un arreglo de compuertas lógicas con un conjunto de entradas y salidas.

Más detalles

Tema 5 Subsistemas Combinacionales

Tema 5 Subsistemas Combinacionales Tema 5 Subsistemas Combinacionales ------------------------------------------------------------------------- Usted es libre de copiar, distribuir y comunicar públicamente la obra y de hacer obras derivadas

Más detalles

UNIVERSIDAD CARLOS III DE MADRID. Ejercicios de VHDL. Circuitos Integrados y Microelectrónica. Luis Entrena. Celia López.

UNIVERSIDAD CARLOS III DE MADRID. Ejercicios de VHDL. Circuitos Integrados y Microelectrónica. Luis Entrena. Celia López. UNIVERSIDAD CARLOS III DE MADRID Ejercicios de VHDL Circuitos Integrados y Microelectrónica Luis Entrena Celia López Mario García Enrique San Millán Marta Portela Almudena Lindoso Problema 1 Se pretende

Más detalles

Subsistemas aritméticos y lógicos. Tema 8

Subsistemas aritméticos y lógicos. Tema 8 Subsistemas aritméticos y lógicos Tema 8 Qué sabrás al final del capítulo? Diseño de Sumadores Binarios Semisumadores Sumador completo Sumador con acarreo serie Sumador con acarreo anticipado Sumador /

Más detalles

Problemas propuestos. Construir un multiplexor de 5 entradas a) utilizando puertas lógicas. b) utilizando multiplexores de dos entradas.

Problemas propuestos. Construir un multiplexor de 5 entradas a) utilizando puertas lógicas. b) utilizando multiplexores de dos entradas. Construir un multiplexor de 5 entradas a) utilizando puertas lógicas. b) utilizando multiplexores de dos entradas. Un circuito de desplazamiento en barril ( barrel-shifter ) mueve los datos de entrada

Más detalles

Electrónica Digital. Actividad Dirigida. Implementación de un Cronómetro Digital

Electrónica Digital. Actividad Dirigida. Implementación de un Cronómetro Digital Electrónica Digital Actividad Dirigida Implementación de un Cronómetro Digital Trabajo a realizar La actividad consiste en la implementación de un cronómetro digital con capacidad de cuenta de minutos

Más detalles

Sistemas Digitales I Taller No 2: Diseño de Circuitos combinacionales usando VHDL

Sistemas Digitales I Taller No 2: Diseño de Circuitos combinacionales usando VHDL UNIVERSIDAD INDUSTRIAL DE SANTANDER Escuela de Ingenierías Eléctrica, Electrónica y Telecomunicaciones Sistemas Digitales I Taller No 2: Diseño de Circuitos combinacionales usando VHDL Profesor: Carlos

Más detalles

Circuitos Combinatorios

Circuitos Combinatorios UNIDAD 5 Circuitos Combinatorios Introducción a la unidad Los circuitos combinatorios o circuitos combinacionales transforman un conjunto de entradas en un conjunto de salidas de acuerdo con una o más

Más detalles

SUBSISTEMAS COMBINACIONALES. Tema 4: SUBSISTEMAS COMBINACIONALES

SUBSISTEMAS COMBINACIONALES. Tema 4: SUBSISTEMAS COMBINACIONALES Tema 4: SUBSISTEMAS COMBINACIONALES Contenido * Circuitos integrados MSI/LSI. * Subsistemas de propósito específico: * decodificadores, codificadores, convertidores de código * codificadores de prioridad

Más detalles

INGENIERÍA DE COMPUTADORES 3. Solución al examen de Septiembre 2016

INGENIERÍA DE COMPUTADORES 3. Solución al examen de Septiembre 2016 Solución al examen de Septiembre 2016 PREGUNTA 1 (2 puntos) Tomando como base el siguiente código VHDL, dibuje el cronograma de evolución de las señales x1, x2, x3 y x4 entre los instantes 0 y 100 ns.

Más detalles

TEMA 5. SISTEMAS COMBINACIONALES MSI.

TEMA 5. SISTEMAS COMBINACIONALES MSI. Fundamentos de Computadores. Circuitos Combinacionales MSI T5-1 TEMA 5. SISTEMAS COMBINACIONALES MSI. INDICE: INTRODUCCIÓN DECODIFICADORES o REALIZACIÓN DE FUNCIONES CON DECODIFICADORES CONVERTIDORES DE

Más detalles

TECNOLOGÍA DE COMPUTADORES / SISTEMAS DIGITALES EXAMEN PARCIAL. PARTE COMBINACIONAL. 26 NOVIEMBRE 2009.

TECNOLOGÍA DE COMPUTADORES / SISTEMAS DIGITALES EXAMEN PARCIAL. PARTE COMBINACIONAL. 26 NOVIEMBRE 2009. TECNOLOGÍA DE COMPUTADORES / SISTEMAS DIGITALES EXAMEN PARCIAL. PARTE COMBINACIONAL. 26 NOVIEMBRE 2009. EJERCICIO 1 (1,0 punto). El suministro de energía eléctrica de dos ciudades (ver figura) está gestionado

Más detalles

Practica Nº4 Multiplexores

Practica Nº4 Multiplexores Practica Nº4 Multiplexores OBJETIVO: El estudiante al terminar esta práctica estará en capacidad de poder analizar y diseñar circuitos combinacionales Multiplexores y circuitos lógicos aritméticos. PRELABORATORIO:

Más detalles

Electrónica. Diseño lógico. Fundamentos en electrónica digital. Héctor Arturo Flórez Fernández

Electrónica. Diseño lógico. Fundamentos en electrónica digital. Héctor Arturo Flórez Fernández Electrónica Diseño lógico Fundamentos en electrónica digital Héctor Arturo Flórez Fernández Flórez Fernández, Héctor Arturo Diseño lógico: fundamentos de electrónica digital / Héctor Arturo Flórez Fernández.

Más detalles

UNIDAD ARITMETICO-LOGICA. Conceptos. 1. Sumadores y restadores. Semisumador:

UNIDAD ARITMETICO-LOGICA. Conceptos. 1. Sumadores y restadores. Semisumador: UNIDAD ARITMETICO-LOGICA Conceptos Unidad aritmético-lógica: Elemento que realiza las operaciones aritméticas y lógicas entre los datos Operaciones típicas Sumar Restar Multiplicar Desplazamiento de registros

Más detalles

LECCIÓN Nº 02 FUNCIONES DE LOGICA COMBINACIONAL (PARTE 1)

LECCIÓN Nº 02 FUNCIONES DE LOGICA COMBINACIONAL (PARTE 1) LECCIÓN Nº 02 FUNCIONES DE LOGICA COMBINACIONAL (PARTE 1) 1. CONVERSORES DE CODIGO La disponibilidad de una gran variedad de códigos para los mismos elementos discretos de información origina el uso de

Más detalles

Circuitos electrónicos digitales. Unidades Aritméticas Lógicas. Departamento de Tecnología Electrónica Universidad de Sevilla

Circuitos electrónicos digitales. Unidades Aritméticas Lógicas. Departamento de Tecnología Electrónica Universidad de Sevilla Circuitos electrónicos digitales Unidades Aritméticas Lógicas Índice Introducción Circuitos sumadores básicos Sumador paralelo de n bits Sumador/Restador Unidad aritmético-lógica (ALU) Introducción Los

Más detalles

Multiplicador Digital

Multiplicador Digital Multiplicador Digital Dr. Andrés David García García Departamento de Mecatrónica Escuela de Ingeniería y Ciencias Multiplicador Existen varios métodos básicos para el cálculo de la multiplicación de dos

Más detalles

El código concurrente esta destinado únicamente para el diseño de circuitos combinacionales.

El código concurrente esta destinado únicamente para el diseño de circuitos combinacionales. Código Concurrente Código Concurrente El código VHDL puede ser concurrente o secuencial. Únicamente las sentencias colocadas dentro de un PROCESS, FUNCTION o PROCEDURE (los últimos dos son llamados subprogramas)

Más detalles

PLANTILLA. [t], Maximino Peña Guerrero,

PLANTILLA. [t], Maximino Peña Guerrero, PLANTILLA Instrucciones secuenciales: CASE CASE ejecuta una o varias secuencias de instrucciones que dependen del valor de una sola expresión. SINTAXIS case expression is when choices => {sequential_statement}

Más detalles

PRÁCTICA 7. CIRCUITOS ARITMÉTICOS

PRÁCTICA 7. CIRCUITOS ARITMÉTICOS PRÁCTICA 7. CIRCUITOS ARITMÉTICOS 1. Objetivo El objetivo de esta práctica es estudiar circuitos aritméticos. Como ejemplo de los circuitos aritméticos se va a usar el integrado 74LS283 (sumador completo

Más detalles

6.1. Operadores aritméticos en binario natural

6.1. Operadores aritméticos en binario natural 6.1. Operadores aritméticos en binario natural 6.1.1. Puertas OR-Exclusiva y circuitos de paridad [ Wakerly 5.8. pág. 410] 6.1.2. Comparadores [ Wakerly 5.9. pág. 419] 6.1.3. Sumadores, restadores y ALUs

Más detalles

EIE SISTEMAS DIGITALES Tema 6: Funciones de la lógica combinacional. Nombre del curso: Sistemas Digitales Nombre del docente: Héctor Vargas

EIE SISTEMAS DIGITALES Tema 6: Funciones de la lógica combinacional. Nombre del curso: Sistemas Digitales Nombre del docente: Héctor Vargas EIE 446 - ITEM DIGITLE Tema 6: Funciones de la lógica combinacional Nombre del curso: istemas Digitales Nombre del docente: Héctor Vargas OBJETIVO DE L UNIDD Distinguir entre semi-sumadores y sumadores

Más detalles

Bloques funcionales combinacionales. Bloques para el encaminamiento y/o transferencia de datos

Bloques funcionales combinacionales. Bloques para el encaminamiento y/o transferencia de datos Bloques para el encaminamiento y/o transferencia de datos Multiplexor Demultiplexor Decodificador Codificador Bloques para el procesamiento de datos Comparador Bloques para la generación de funciones booleanas

Más detalles

TEMA IV: SÍNTESIS HARDWARE

TEMA IV: SÍNTESIS HARDWARE TEMA IV: SÍNTES HARDWARE Especificaciones Formato intermedio Partición HW/SW LA SÍNTES HARDWARE ES LA TAREA DE PASAR DE UN DOMINIO DE ABSTRACCIÓN A OTRO COMPORTAMIENTO -> ESTRUCTURA Código Implementación

Más detalles