EIE SISTEMAS DIGITALES Tema 6: Funciones de la lógica combinacional. Nombre del curso: Sistemas Digitales Nombre del docente: Héctor Vargas

Tamaño: px
Comenzar la demostración a partir de la página:

Download "EIE SISTEMAS DIGITALES Tema 6: Funciones de la lógica combinacional. Nombre del curso: Sistemas Digitales Nombre del docente: Héctor Vargas"

Transcripción

1 EIE ITEM DIGITLE Tema 6: Funciones de la lógica combinacional Nombre del curso: istemas Digitales Nombre del docente: Héctor Vargas

2 OBJETIVO DE L UNIDD Distinguir entre semi-sumadores y sumadores completos. Utilizar sumadores completos para implementar sumadores en paralelo binarios de múltiples bits. Utilizar comparadores de magnitud para determinar la relación entre dos números binarios. Utilizar comparadores en cascada para realizar comparaciones de números más grandes. Estudiar la función de un decodificador binario. Específicamente, veremos decodificadores BCD a 7 segmentos. También estudiaremos la función inversa de codificación. Estudiar los multiplexores para la selección de datos. También se estudiará la función inversa de demultiplexación. e estudiarán las funciones de generación y comprobación de paridad.

3 EL EMI-UMDOR El semi-sumador realiza las reglas básicas de la adición binaria, que admite dos entradas binarias ( y B) y genera dos salidas binarias (carreo y uma). Las entradas y salidas se pueden resumir en una tabla de verdad. Inputs Outputs B C out El símbolo lógico y circuito equivalente son: B C out B C out

4 EL UMDOR COMPLETO Un sumador completo tiene tres entradas binarias (, B, y carreo de entrada) y dos salidas binarias (carreo de salida y uma). La tabla de verdad resume la operación. Un sumador completo puede ser construido con dos semi-sumadores como se muestra a continuación: uma Inputs Outputs B C in C out B B C in C out B C out B C in C out C out ímbolo

5 EL UMDOR COMPLETO uma Para las entradas dadas, determinar las salidas finales e intermedias de el sumador completo. B C out B C out C out El primer semi-sumador tiene entradas y ; por lo tanto la uma = y el carreo de salida es igual a. El segundo semi-sumador tiene entradas y ; por lo tanto la uma = y el carreo de salida es igual a. La puerta OR tiene entradas y, por lo tanto, el acarreo final de salida es igual a.

6 EL UMDOR COMPLETO Outputs Inputs B C out C in B C out B C out uma C out Observe que el resultado del ejemplo previo se puede leer directamente desde la tabla de verdad para un sumador completo.

7 UMDORE EN PRLELO Los sumadores completos se pueden combinar en paralelo para sumar números binarios con múltiples bits. Un sumador de 4-bit se ilustra a continuación. 4 B 4 3 B 3 B B C B C in B C in B C in B C in C out C out C out C out C 4 C 3 4 C 3 C El acarreo de salida (C4) no está listo hasta que se propaga a través de todos los sumadores completos. Esto se denomina propagación de acarreo, retardando el proceso de suma.

8 UMDORE EN PRLELO El símbolo lógico para un sumador paralelo de 4-bit se ilustra en la figura. Este sumador de 4-bit incluye un acarreo de entrada (etiquetado como C) y un acarreo de salida (etiquetado como C4). Número binario Número binario B bit suma carreo entrada C C 4 carreo salida El 74L83 es un ejemplo C.I. e caracteriza por incluir acarreo anticipado, que agrega lógica para minimizar el retardo de acarreo de salida. Para el 74L83, el retardo máximo para el acarreo de salida es 7 ns.

9 COMPRDORE La función de un comparador es comparar las magnitudes de dos números binarios para determinar la relación existente entre ellos. En su forma más simple, un comparador puede comprobar la igualdad usando puertas XNOR. Como podrías comprobar la igualdad de dos números de 4-bit? Multiplicando (ND) las salidas de cuatro puertas XNOR. B B alida 3 B 3 4 B 4

10 COMPRDORE Los comparadores MI ofrecen salidas para indicar cual de los números es más grande o si son iguales. Los bits se enumeran empezando en, en vez de como en el caso de los sumadores. Igualmente se ofrecen entradas en cascada para expandir el comparador a números más grandes. Entradas cascada 3 B B B B 3 COMP 3 > B > B = B = B < B < B 3 alidas MI de 4-bit 74L85.

11 COMPRDORE Los comparadores se pueden expandir usando las entradas en cascada como se muestra en la imagen inferior. El comparador de orden más bajo tiene un nivel lógico LTO (+5v) en la entrada = B. LBs MBs +5. V 3 B B B B 3 COMP 3 > B > B = B = B < B < B B 4 B 5 B 6 B 7 COMP 3 > B > B = B = B < B < B 3 alidas

12 DECODIFICDORE Un decodificador es un circuito lógico que detecta la presencia de una combinación específica de bits en su entrada. Dos decodificadores simples que detectan la presencia del código binario se muestra en la figura de abajo. El primero tiene una salida activa en nivel alto HIGH ; el segundo tiene una salida activa en nivel bajo LOW. X X 3 3 Decodificador activo con nivel alto para Decodificador activo con nivel bajo para

13 DECODIFICDORE suma que la salida del decodificador es un lógico. Cuáles son las entradas al decodificador? = = = 3 =

14 DECODIFICDORE Los decodificadores MI tienen múltiples salidas para decodificar cualquier combinación de entradas. Por ejemplo, el decodificador binario-a-decimal que se muestra aquí tiene 6 salidas una para cada combinación de entradas binarias. Para las entradas mostradas Cuál es la salida? 4-bit binary input 3 Bin/Dec Decimal outputs

15 DECODIFICDORE Un decodificador MI específico es el 74HC54 (decodificador 4 a 6). Incluye dos entradas de selección las cuales deben estar activas para habilitar las salidas (se activan con un nivel bajo en ambas entradas). Estas líneas pueden ser utilizadas para expandir el decodificador para entradas más grandes. 3 C C 4 8 & X/Y EN HC54

16 DECODIFICDORE Los decodificadores BCD-a-Decimal aceptan una entrada decimal codificada en BCD y activan uno de diez posibles indicadores de dígito decimal. suma que las entradas al decodificador 74HC4 son la secuencia,,, y. Describa la salida. 3 (5) (4) (3) () 4 8 BCD/DEC 74HC () () (3) (4) (5) (6) (7) (9) () () Todas las líneas están en nivel alto (HIGH) excepto la salida que está activa, que está en bajo (LOW). Las salidas activas son 5, 6, 3, y en ese orden.

17 DECODIFICDOR BCD 7 EGMENTO Otro decodificador útil es el 74L47. Este realiza la decodificación BCD a display de siete segmentos con salidas activas a nivel bajo (LOW). V CC Las salidas de a-g están diseñados para corrientes mucho más altas que otros dispositivos. Entradas BCD LT RBI (7) () () (6) (3) (5) 4 8 (6) BCD/7-seg LT RBI BI/RBO a b c d e f g (4) (3) () () () (9) (5) (4) BI/RBO alidas a dispositivo de siete segmentos 74L47 (8) GND

18 DECODIFICDOR BCD 7 EGMENTO quí el 74L47 está conectado a un display de LEDs de siete segmentos. Observe las resistencias que limitan la corriente, requeridas para prevenir la saturación de los LEDs del display. BCD input. kw +5. V 74L47 6 BCD/7-seg 3 V CC LT 4 BI/RBO RBI GND R's = 33 W a 3 b 3 5 c 6 d 8 e 9 7 B f 5 C 7 g 4 D 8 a b c d e f g +5. V MN7 3, 9, 4

19 DECODIFICDOR BCD 7 EGMENTO El MI 74L47 permite la supresión de ceros, que no despliega los ceros innecesarios pero mantiene los ceros significativos. La salida BI/RBO está conectada a la entrada RBI del próximo decodificador. RBI LT 8 4 RBI LT 8 4 RBI LT 8 4 RBI LT L47 74L47 74L47 74L47 g f e d c b a BI/RBO g f e d c b a BI/RBO g f e d c b a BI/RBO g f e d c b a BI/RBO in despliegue in despliegue Dependiendo del tipo de display, se podrían requerir resistores que limiten la corriente.

20 DECODIFICDOR BCD 7 EGMENTO Finalmente, la supresión de ceros también elimina los ceros innecesarios a la derecha del punto decimal como ilustra la figura. La entrada RBI está conectada a la salida BI/RBO del siguiente decodificador. RBI LT 8 4 RBI LT 8 4 RBI LT 8 4 RBI LT L47 74L47 74L47 74L47 g f e d c b a BI/RBO g f e d c b a BI/RBO g f e d c b a BI/RBO g f e d c b a BI/RBO Punto Decimal in despliegue in despliegue

21 DECODIFICDOR (Ejemplo de aplicación) istema simplificado de puertos E/ de una computadora. Las computadoras se tienen que comunicar con una variedad de dispositivos externos (periféricos). Como se aprecia en la figura, se puede utilizar un decodificador para seleccionar el puerto de entrada de E/ determinado del computador. En esta arquitectura, cada puerto de E/ está unívocamente determinado por una dirección de puerto.

22 CODIFICDORE Un codificador acepta un nivel lógico activo en una de sus entradas y la convierte a una salida codificada, tal como BCD o binaria. El codificador decimal a BCD es un codificador con una entrada para cada uno de los diez dígitos decimales y cuatro salidas que representan el código BCD para el dígito activo. la derecha se muestra el diagrama lógico básico. No hay una entrada cero porque las salidas estarán todas a nivel bajo cuando la entrada es cero

23 CODIFICDORE Mostrar como el codificador decimal a BCD convierte el número decimal 3 en un código BCD. Las dos puertas OR superiores tienen un uno como se indica con las líneas rojas. De esta manera la salida es

24 CODIFICDORE El 74HC47 es un ejemplo de C.I. de un codificador. Tiene diez entradas activas en BJO y convierte la entrada activa a una salida BCD activa en BJO. Este dispositivo ofrece mayor flexibilidad ya que es un codificador con prioridad. Esto quiere decir que si hay más de una entrada activa, aquella con el dígito decimal de mayor orden estará activa. La próxima diapositiva muestra una aplicación Entrada decimal () () (3) () () (3) (4) (5) () (6) HPRI/BCD HC47 V CC (8) 4 8 (9) (7) (6) (4) alida BCD GND

25 CODIFICDORE V CC R 7 R 8 R 9 Codificador de teclado R 4 R 5 R HPRI/BCD BCD complement of key press R R R 3 74HC47 3 R La línea cero no es necesitada por el codificador, pero podría ser utilizada por otros circuitos para detectar una tecla presionada.

26 CONVERTIDORE DE CÓDIGO Hay varios convertidores de código que cambian un código a otro. Dos ejemplos son los convertidores Binario-a-Gray y el convertidor Gray-a- Binario de cuatro bits. Mostrar la conversión de binario a código Gray y vice versa. LB LB MB Binario-a-Gray Gray-a-Binario Estudiar conversión Binario-a-BCD y BCD-a-Binario. MB

27 MULTIPLEXORE Un multiplexor selecciona una línea de datos desde dos o más líneas de entrada y encamina los datos de la línea seleccionada a la salida. En particular, la línea de datos que se selecciona es determinada por las entradas de selección. Dos líneas de selección se muestran para escoger cualquiera de las cuatro entradas de datos. Que línea de datos se selecciona si =? Entradas de selección Entradas de datos D D D D 3 3 MUX alida de datos D

28 DEMULTIPLEXORE Un demultiplexor (DEMUX) realiza la función opuesta de un MUX. Conmuta los datos desde una línea de entrada a dos o más líneas de salida dependiendo de las entradas seleccionadas. El C.I. 74L38 puede funcionar tanto como un decodificador o como un demultiplexor. Cuando se conecta como un DEMUX, los datos se aplican a una de las entradas habilitadas, y luego son encaminados a la línea de salida seleccionada dependiendo del valor en las líneas de selección. Observe que las salidas están activas en BJO como se ilustra en el siguiente ejemplo Líneas de selección Entradas habilitadas DEMUX G G G B 74L38 Y Y Y Y 3 Y 4 Y 5 Y 6 Y 7 alidas de datos

29 DEMULTIPLEXORE Determinar las salidas, dadas las entradas mostradas. G G BJO G B BJO Líneas de selección de datos Entradas habilitadas DEMUX G G G B 74L38 Y Y Y Y 3 Y 4 Y 5 Y 6 Y 7 alidas de datos Y Y Y Y 3 Y 4 Y 5 Y 6 Y 7

30 GENERDORE DE PRIDD Y COMPROBDORE Paridad es un método de detección de errores que utiliza un bit extra asociado a un grupo de bits para forzarlos a ser par o impar. En paridad par, el número total de unos debe ser par; en paridad impar el número total de unos debe ser impar. La letra CII es. Mostrar el bit de paridad para la letra con paridad par e impar. con paridad impar = con paridad par =

31 GENERDORE DE PRIDD Y COMPROBDORE El C.I. 74L8 se puede utilizar para generar un bit de paridad o para comprobar un flujo de datos de entrada para paridad par o impar. Comprobador: El C.I. 74L8 puede examinar códigos de hasta 9 bits. La salida par será normalmente LT si la línea de datos tiene paridad par; por el contrario, será un nivel lógico BJO. Igualmente, la salida impar será LT si la línea de datos tiene paridad impar; en otro caso, será un nivel lógico BJO. Generador: Para generar paridad par, el bit de paridad se toma desde la salida de paridad impar. Para generar paridad impar, la salida se toma desde la salida de paridad par. Data inputs (8) (9) () () () (3) () () (4) B C D E F G H I 74L8 (5) (6) Even Odd

32 Ejercicio : Describir con palabras el funcionamiento del circuito. Demux

33 Ejercicio : Interpretar la utilidad del sistema que se muestra en la figura.

34 Ejercicio 3: Diseñar un codificador de prioridad de cuatro entradas activas en el nivel bajo. ñada una salida que indique cuando no hay ninguna entrada activa.

35 Ejercicio 3: Continuación de la solución...

36 PLBR CLVE DE L UNIDD umador completo Un circuito digital que suma dos bits y un bit de entrada de acarreo para producir una suma y un acarreo de salida. Cascada Conectar dos o más dispositivos similares de manera de expandir la capacidad de los dispositivos individuales. Propagación de acarreo carreo anticipado Un método de suma binaria en que el acarreo de salida de cada sumador se convierte en el acarreo de entrada del sumador de orden superior. Un método de suma binaria por el cual los acarreos del sumador previo son anticipados, de esta manera se eliminan los retardos de propagación de acarreo.

37 PLBR CLVE DE L UNIDD Decodificador Codificador Un circuito digital que convierte información codificada en una forma familiar no codificada. Un circuito digital que convierte información familiar en una forma codificada. Codificador con prioridad Multiplexor (MUX) Demultiplexor (DEMUX) Un codificador en el que solamente el dígito de entrada de orden superior es codificado y cualquier otra entrada activa es ignorada. Un circuito que conmuta datos digitales de varias líneas de entrada en una única línea de salida en una secuencia de tiempo especificada. Un circuito que conmuta datos digitales de una línea de entrada a varias líneas de salida en una secuencia de tiempo especificada.

38 BIBLIOGRFÍ Libro base: Fundamentos de istemas Digitales. utor: Tomas L. Floyd. Libro complemento: Principios de Diseño Digital. utor: Daniel D. Gaski.

Tema 6: Circuitos Digitales BásicosB. Escuela Politécnica Superior Ingeniería Informática Universidad Autónoma de Madrid

Tema 6: Circuitos Digitales BásicosB. Escuela Politécnica Superior Ingeniería Informática Universidad Autónoma de Madrid Tema 6: Circuitos Digitales BásicosB Ingeniería Informática Universidad utónoma de Madrid O B J E T I V O S Circuitos digitales básicosb Comprender las funciones lógicas elementales Habilidad para diseñar

Más detalles

Tema 5. SISTEMAS COMBINACIONALES. Tema 5. Sistemas combinacionales por Angel Redondo I.E.S Isaac Peral Torrejon de Ardoz 1

Tema 5. SISTEMAS COMBINACIONALES. Tema 5. Sistemas combinacionales por Angel Redondo I.E.S Isaac Peral Torrejon de Ardoz 1 Tema 5. SISTEMAS COMBINACIONALES Tema 5. Sistemas combinacionales por Angel Redondo I.E.S Isaac Peral Torrejon de Ardoz SISTEMAS COMBINACIONALES Sistemas combinacionales. Codificadores Decodificadores

Más detalles

Subsistemas aritméticos y lógicos. Tema 8

Subsistemas aritméticos y lógicos. Tema 8 Subsistemas aritméticos y lógicos Tema 8 Qué sabrás al final del capítulo? Diseño de Sumadores Binarios Semisumadores Sumador completo Sumador con acarreo serie Sumador con acarreo anticipado Sumador /

Más detalles

EIE SISTEMAS DIGITALES Tema 5: Análisis de la lógica combinacional. Nombre del curso: Sistemas Digitales Nombre del docente: Héctor Vargas

EIE SISTEMAS DIGITALES Tema 5: Análisis de la lógica combinacional. Nombre del curso: Sistemas Digitales Nombre del docente: Héctor Vargas EIE 446 - SISTEMS DIGITLES Tema 5: nálisis de la lógica combinacional Nombre del curso: Sistemas Digitales Nombre del docente: Héctor Vargas OJETIVOS DE L UNIDD nalizar los circuitos lógicos combinacionales

Más detalles

CURSO: ELECTRÓNICA DIGITAL SISTEMAS COMBINATORIOS - TEORÍA PROFESOR: ING. JORGE ANTONIO POLANÍA

CURSO: ELECTRÓNICA DIGITAL SISTEMAS COMBINATORIOS - TEORÍA PROFESOR: ING. JORGE ANTONIO POLANÍA CURSO: ELECTRÓNICA DIGITAL SISTEMAS COMBINATORIOS - TEORÍA PROFESOR: ING. JORGE ANTONIO POLANÍA En esta unidad usted aprenderá a utilizar los diferentes circuitos integrados que se han fabricado para resolver

Más detalles

Oliverio J. Santana Jaria. Sistemas Digitales Ingeniería Técnica en Informática de Sistemas Curso Los objetivos de este tema son:

Oliverio J. Santana Jaria. Sistemas Digitales Ingeniería Técnica en Informática de Sistemas Curso Los objetivos de este tema son: 3. Circuitos aritméticos ticos Oliverio J. Santana Jaria Sistemas Digitales Ingeniería Técnica en Informática de Sistemas Curso 2006 2007 Introducción La realización de operaciones aritméticas y lógicas

Más detalles

Circuitos Combinacionales. Fundamentos de Computadores Escuela Politécnica Superior. U.A.M

Circuitos Combinacionales. Fundamentos de Computadores Escuela Politécnica Superior. U.A.M Circuitos Combinacionales Fundamentos de Computadores Escuela Politécnica uperior. U..M Índice de la Unidad U. Circuitos combinacionales U.. mplementación de la lógica combinacional. Funciones lógicas.

Más detalles

TEMA 1 INTRODUCCIÓN A LOS SISTEMAS DIGITALES

TEMA 1 INTRODUCCIÓN A LOS SISTEMAS DIGITALES TEMA 1 INTRODUCCIÓN A LOS SISTEMAS DIGITALES Exponer los conceptos básicos de los fundamentos de los Sistemas Digitales. Asimilar las diferencias básicas entre sistemas digitales y sistemas analógicos.

Más detalles

Unidad 3: Circuitos digitales.

Unidad 3: Circuitos digitales. A-1 Appendix A - Digital Logic Unidad 3: Circuitos digitales. Diapositivas traducidas del libro Principles of Computer Architecture Miles Murdocca and Vincent Heuring Appendix A: Digital Logic A-2 Appendix

Más detalles

DISEÑO CURRICULAR ELECTRÓNICA DIGITAL

DISEÑO CURRICULAR ELECTRÓNICA DIGITAL DISEÑO CURRICULAR ELECTRÓNICA DIGITAL FACULTAD (ES) CARRERA (S) Ingeniería Computación y Sistemas. CÓDIGO HORAS TEÓRICAS HORAS PRÁCTICAS UNIDADES DE CRÉDITO SEMESTRE 116243 02 02 03 VI PRE-REQUISITO ELABORADO

Más detalles

Tema 4: Circuitos combinacionales

Tema 4: Circuitos combinacionales Estructura de computadores Tema 4: Circuitos combinacionales Tema 4: Circuitos combinacionales 4.0 Introducción Los circuitos lógicos digitales pueden ser de dos tipos: combinacionales secuenciales. Circuitos

Más detalles

PRÁCTICA 3 Montaje y evaluación de sistemas digitales combinacionales.

PRÁCTICA 3 Montaje y evaluación de sistemas digitales combinacionales. Montaje y evaluación de sistemas digitales combinacionales. 1. Objetivos El objetivo de la siguiente práctica es familiarizar al alumno con el manejo de sistemas combinacionales, además de: Manejar las

Más detalles

Ejercicios. Arquitectura de Computadoras. José Garzía

Ejercicios. Arquitectura de Computadoras. José Garzía jercicios de rquitectura de Computadoras José Garzía n la figura se representa el diagrama de flujo de un algoritmo. B X Y +B í + 7=? No B B+ C +B Los registros, B y C tienen una longitud de 8 bits. 7

Más detalles

T6. CIRCUITOS ARITMÉTICOS

T6. CIRCUITOS ARITMÉTICOS T6. CIRCUITOS ARITMÉTICOS Circuitos Aritméticos Son dispositivos MSI que pueden realizar operaciones aritméticas (suma, resta, multiplicación y división) con números binarios. De todos los dispositivos,

Más detalles

Componentes indispensables Un (1) 74LS181 ALU Un (1) 74 LS 47 Un display 7seg Ánodo Común

Componentes indispensables Un (1) 74LS181 ALU Un (1) 74 LS 47 Un display 7seg Ánodo Común Universidad Simón Bolívar Departamento de Electrónica y Circuitos EC1723, Circuitos Digitales Trimestre Septiembre-Diciembre 2012 Laboratorio - Práctica 2: Circuitos Combinatorios de Media Escala de Integración

Más detalles

ESTRUCTURA Y TECNOLOGÍA DE COMPUTADORES I CAPÍTULO III ARITMÉTICA Y CODIFICACIÓN

ESTRUCTURA Y TECNOLOGÍA DE COMPUTADORES I CAPÍTULO III ARITMÉTICA Y CODIFICACIÓN ESTRUCTURA Y TECNOLOGÍA DE COMPUTADORES I CAPÍTULO III ARITMÉTICA Y CODIFICACIÓN TEMA 3. Aritmética y codificación 3.1 Aritmética binaria 3.2 Formatos de los números y su representación 3.3 Definiciones

Más detalles

Tabla de verdad. La función lógica es aquella que relaciona las entradas y salidas de un circuito lógico. Puede expresarse mediante:

Tabla de verdad. La función lógica es aquella que relaciona las entradas y salidas de un circuito lógico. Puede expresarse mediante: T-2 Álgebra de oole. ógica combinacional TM - 2 ÁGR D OO. ÓGI OMINION. l control digital, y en particular el binario, está presente en todos los campos de la vida, desde los sistemas de refrigeración hasta

Más detalles

PUERTAS LOGICAS. Una tensión alta significa un 1 binario y una tensión baja significa un 0 binario.

PUERTAS LOGICAS. Una tensión alta significa un 1 binario y una tensión baja significa un 0 binario. PUERTAS LOGICAS Son bloques de construcción básica de los sistemas digitales; operan con números binarios, por lo que se denominan puertas lógicas binarias. En los circuitos digitales todos los voltajes,

Más detalles

Sistemas Electrónicos Digitales Curso de adaptación al Grado

Sistemas Electrónicos Digitales Curso de adaptación al Grado Práctica Práctica 2 Sistemas Electrónicos Digitales Curso de adaptación al Grado Sistemas combinacionales con VHDL Universidad de Alicante Ángel Grediaga 2 Índice INTRODUCCIÓN... 3 2 CIRCUITOS COMBINACIONALES...

Más detalles

FUNDAMENTOS DE SISTEMAS DIGITALES. Tema 2: Lógica combinacional (I): Funciones aritmético-lógicas

FUNDAMENTOS DE SISTEMAS DIGITALES. Tema 2: Lógica combinacional (I): Funciones aritmético-lógicas FUNDAMENTOS DE SISTEMAS DIGITALES Tema 2: Lógica combinacional (I): Funciones aritmético-lógicas 1 Programa 1. Representación conjunta de números positivos y negativos. 2. Sumadores y restadores. 3. Sumadores

Más detalles

TEMA 5. SISTEMAS COMBINACIONALES MSI.

TEMA 5. SISTEMAS COMBINACIONALES MSI. Fundamentos de Computadores. Circuitos Combinacionales MSI T5-1 TEMA 5. SISTEMAS COMBINACIONALES MSI. INDICE: INTRODUCCIÓN DECODIFICADORES o REALIZACIÓN DE FUNCIONES CON DECODIFICADORES CONVERTIDORES DE

Más detalles

Proyecto de Electrónica. Contador digital de 0 a 9

Proyecto de Electrónica. Contador digital de 0 a 9 Proyecto de Electrónica Contador digital de 0 a 9 La finalidad del proyecto consiste en mostrar en un display un conteo de cero a nueve, donde la velocidad de conteo podrá ser regulada. Componentes a utilizar

Más detalles

Sistemas Electrónicos Digitales

Sistemas Electrónicos Digitales Sistemas Electrónicos Digitales Profesor: Carlos Herrera C. I. Unidad COMPUERTAS LOGICAS Las compuertas lógicas son dispositivos que operan con aquellos estados lógicos Binarios y que funcionan igual que

Más detalles

DEPARTAMENTO DE CIENCIAS BÁ SICAS E INGENIERÍAS INGENIERÍA EN TELEMÁ TICA

DEPARTAMENTO DE CIENCIAS BÁ SICAS E INGENIERÍAS INGENIERÍA EN TELEMÁ TICA DEPRTMENTO DE CIENCIS Á SICS E INGENIERÍS INGENIERÍ EN TELEMÁ TIC MRE DE L SIGNTUR CLVE SIGNTUR PLN DE ESTUDIO ELECTRONIC DIGITL IT0208 2004IT PRCTIC No. LORTORIO DE MRE DE L PRCTIC DURCIÓN 5 LORTORIO

Más detalles

Por ejemplo, los números binarios sin signo que se pueden construir con 4 bits son: bit más significativo more significant bit (msb)

Por ejemplo, los números binarios sin signo que se pueden construir con 4 bits son: bit más significativo more significant bit (msb) istema binario Un sistema binario utiliza únicamente dos símbolos para representar la información. Comúnmente los símbolos usados son los dígitos y 1, por eso reciben el nombre de dígitos binarios (binary

Más detalles

EIE 446 - SISTEMAS DIGITALES Tema 2: Sistemas de Numeración, Operaciones y Códigos

EIE 446 - SISTEMAS DIGITALES Tema 2: Sistemas de Numeración, Operaciones y Códigos EIE 446 - SISTEMAS DIGITALES Tema 2: Sistemas de Numeración, Operaciones y Códigos Nombre del curso: Sistemas Digitales Nombre del docente: Héctor Vargas Fecha: 1 er semestre de 2011 INTRODUCCIÓN El sistema

Más detalles

Decodificadores/Demultiplexores. Grupo 9 Javier de Gregorio Menezo Laro de la Fuente Lastra Raúl Fernández Díaz

Decodificadores/Demultiplexores. Grupo 9 Javier de Gregorio Menezo Laro de la Fuente Lastra Raúl Fernández Díaz Decodificadores/Demultiplexores Grupo 9 Javier de Gregorio Menezo Laro de la Fuente Lastra Raúl Fernández Díaz Decodificadores Un decodificador (DEC) es un circuito combinacional que convierte un código

Más detalles

Proyecto de Diseño 2

Proyecto de Diseño 2 Altera University Program 1 Proyecto de Diseño 2 Números y Visualizadores El objetivo de esta práctica es diseñar circuitos combinacionales que realicen conversiones numéricas de binario a decimal y adición

Más detalles

Tema 3: Sistemas Combinacionales

Tema 3: Sistemas Combinacionales Ejercicios T3: Sistemas Combinacionales Fundamentos de Tecnología de Computadores Tema 3: Sistemas Combinacionales 1. Analizar el siguiente circuito indicando la expresión algebraica que implementa, la

Más detalles

DECODIFICADORES. Para cualquier código dado en las entradas solo se activa una de las N posibles salidas. 2 N

DECODIFICADORES. Para cualquier código dado en las entradas solo se activa una de las N posibles salidas. 2 N DECODIFICADORES Tienen como función detectar la presencia de una determinada combinación de bits en sus entradas y señalar la presencia de este código mediante un cierto nivel de salida. Un decodificador

Más detalles

Universidad Central Del Este U C E Facultad de Ciencias de las Ingenierías y Recursos Naturales Escuela de Ingeniería Electromecánica

Universidad Central Del Este U C E Facultad de Ciencias de las Ingenierías y Recursos Naturales Escuela de Ingeniería Electromecánica Universidad Central Del Este U C E Facultad de Ciencias de las Ingenierías y Recursos Naturales Escuela de Ingeniería Electromecánica Programa de la asignatura: IEM-920 ELECTRONICA DIGITAL Total de Créditos:

Más detalles

La compuerta AND opera de tal forma que su salida será ALTA o 1,solo cuando todas sus entradas sean ALTAS. De otra forma la salida sera BAJA.

La compuerta AND opera de tal forma que su salida será ALTA o 1,solo cuando todas sus entradas sean ALTAS. De otra forma la salida sera BAJA. Eplicación del Tema Sesión 12. Operación ND, NOT, NND Y NOR. OPERCIÓN ND Si y son dos variables boolenas y se combinan con la operación OR la epresión quedaría: =. Donde el símbolo. representa la epresión

Más detalles

Registros de desplazamiento

Registros de desplazamiento Registros de desplazamiento Definición de registro de desplazamiento básico Tipos de registro de desplazamiento Configuraciones específicas Aplicaciones más típicas VHDL Ejercicio propuestos Definición

Más detalles

PRÁCTICA 7. CIRCUITOS ARITMÉTICOS

PRÁCTICA 7. CIRCUITOS ARITMÉTICOS PRÁCTICA 7. CIRCUITOS ARITMÉTICOS 1. Objetivo El objetivo de esta práctica es estudiar circuitos aritméticos. Como ejemplo de los circuitos aritméticos se va a usar el integrado 74LS283 (sumador completo

Más detalles

GUIA DE COMPONENTE PRACTICO

GUIA DE COMPONENTE PRACTICO GUIA DE COMPONENTE PRACTICO Con el propósito de fomentar el desarrollo de habilidades en el diseño e implementación física de circuitos digitales, se ha diseñado un componente práctico que será desarrollado

Más detalles

4. Prácticas: Circuitos Combinacionales

4. Prácticas: Circuitos Combinacionales 4. Prácticas: Circuitos Combinacionales I. Ejercicios teóricos 1. Diseñar, empleando puertas lógicas, un codificador de ocho a tres líneas con salida en binario natural y prioridad a la entrada de mayor

Más detalles

Definición y representación de los

Definición y representación de los Definición y representación de los circuitos lógicos. LÁMARA R + - + - OBJETIVO GENERAL BATERÍA Utilizar el álgebra booleana para analizar y describir el funcionamiento de las combinaciones de las compuertas

Más detalles

SISTEMAS DE NUMERACION

SISTEMAS DE NUMERACION SISTEMAS DE NUMERACION INTRODUCCION El número de dígitos de un sistema de numeración es igual a la base del sistema. Sistema Base Dígitos del sistema Binario 2 0,1 Octal 8 0,1,2,3,4,5,6,7 Decimal 10 0,1,2,3,4,5,6,7,8,9

Más detalles

UNIVERSIDAD NACIONAL SAN LUIS GONZAGA DE ICA FACULTAD DE INGENIERÍA MECÁNICA Y ELÉCTRICA ESCUELA ACADÉMICO PROFESIONAL DE INGENIERÍA ELECTRÓNICA

UNIVERSIDAD NACIONAL SAN LUIS GONZAGA DE ICA FACULTAD DE INGENIERÍA MECÁNICA Y ELÉCTRICA ESCUELA ACADÉMICO PROFESIONAL DE INGENIERÍA ELECTRÓNICA UNIVERSIDAD NACIONAL SAN LUIS GONZAGA DE ICA FACULTAD DE INGENIERÍA MECÁNICA Y ELÉCTRICA ESCUELA ACADÉMICO PROFESIONAL DE INGENIERÍA ELECTRÓNICA DEPARTAMENTO ACADEMICO ELECTRICIDAD Y ELETRONICA TEMA :

Más detalles

Boletín de Problemas de Circuitos Combinacionales. Fundamentos de Electrónica 3º Curso Ingeniería Industrial

Boletín de Problemas de Circuitos Combinacionales. Fundamentos de Electrónica 3º Curso Ingeniería Industrial Boletín de Problemas de Circuitos Combinacionales Fundamentos de Electrónica 3º Curso Ingeniería Industrial 2 1. Utilizar el mapa de Karnaugh para implementar la forma suma de productos mínima de la función

Más detalles

Práctica 1 Transistor BJT Región de Corte Saturación Aplicaciones

Práctica 1 Transistor BJT Región de Corte Saturación Aplicaciones Práctica 1 Transistor BJT Región de Corte Saturación Aplicaciones Universidad de San Carlos de Guatemala, Facultad de Ingeniería, Escuela de Mecánica Eléctrica, Laboratorio de Electrónica 1, Segundo Semestre

Más detalles

GUIA DE CIRCUITOS LOGICOS COMBINATORIOS

GUIA DE CIRCUITOS LOGICOS COMBINATORIOS GUIA DE CIRCUITOS LOGICOS COMBINATORIOS 1. Defina Sistema Numérico. 2. Escriba la Ecuación General de un Sistema Numérico. 3. Explique Por qué se utilizan distintas numeraciones en la Electrónica Digital?

Más detalles

BIBLIOGRAFIA TEORIA DE CIRCUITOSY DISPOSOTIVOS BOYLESTAD ELECTRONICA DIGITAL TOKHEIM SISTEMAS DIGITALES TOCCI

BIBLIOGRAFIA TEORIA DE CIRCUITOSY DISPOSOTIVOS BOYLESTAD ELECTRONICA DIGITAL TOKHEIM SISTEMAS DIGITALES TOCCI Guía de preparación para el examen ELECTRONICA CxTx En esta materia básicamente se evalúan temas tales como son: MULTIVIBRADORES, MEMORIAS, CONTADORES Y COMPUERTAS LOGICAS, SUMADOR RESTADOR Y MICROPOCESADORES

Más detalles

circuitos digitales números binario.

circuitos digitales números binario. CIRCUITOS DIGITALES Vamos a volver a los circuitos digitales. Recordemos que son circuitos electrónicos que trabajan con números, y que con la tecnología con la que están realizados, estos números están

Más detalles

Oscar Ignacio Botero H. Codificadores y Decodificadores. CODIFICADORES Y DECODIFICADORES

Oscar Ignacio Botero H. Codificadores y Decodificadores. CODIFICADORES Y DECODIFICADORES Oscar Ignacio otero H. ODIFIDORES Y DEODIFIDORES La codificación y decodificación es el proceso de asignar a cada entrada una combinación única de bits. ODIFIDOR (ENODER) Son circuitos combinacionales

Más detalles

Tema 11: Sistemas combinacionales

Tema 11: Sistemas combinacionales Tema 11: Sistemas combinacionales Objetivo: Introducción Generador Comprobador de paridad Comparadores Semisumador (HA) Sumador Completo (FA) Expansión de sumadores Sumador paralelo con arrastre serie

Más detalles

INGENIERIA ELECTRÓNICA

INGENIERIA ELECTRÓNICA COM PUT DORES INGENIERI ELECTRÓNIC SIGNTUR: ELECTRÓNIC DIGITL I Profesor: LUIS CRLOS LSPRILL TOVR Facultad de Ingeniería Electrónica CIRCUITOS INTEGRDOS TRNSISTORES DIODOS FLYCKS Laboratorio * Ingenieria

Más detalles

TEMA 2: Control combinacional. 1.- Introducción. Esquema:

TEMA 2: Control combinacional. 1.- Introducción. Esquema: Esquema: TEMA 2: Control combinacional TEMA 2: Control combinacional...1 1.- Introducción...1 1.1.-Diseño de circuitos combinacionales...2 2.- Circuitos combinacionales avanzados...2 2.1.- Codificadores...2

Más detalles

Componentes indispensables Un (1) 74LS181 ALU Un (1) 74 LS 47 Un display 7seg CA

Componentes indispensables Un (1) 74LS181 ALU Un (1) 74 LS 47 Un display 7seg CA Universidad Simón Bolívar Departamento de Electrónica y Circuitos EC1723, Circuitos Digitales Trimestre Laboratorio - Práctica 2: Circuitos Combinatorios de Media Escala de Integración Objetivo: Familiarizarse

Más detalles

Electrónica II. Carrera. Electromecánica EMM UBICACIÓN DE LA ASIGNATURA a) Relación con otras asignaturas del plan de estudios.

Electrónica II. Carrera. Electromecánica EMM UBICACIÓN DE LA ASIGNATURA a) Relación con otras asignaturas del plan de estudios. 1. DATOS DE LA ASIGNATURA Nombre de la asignatura Carrera Clave de la asignatura Horas teoría-horas práctica-créditos Electrónica II Electromecánica EMM-0516 3-2-8 2. HISTORIA DEL PROGRAMA Lugar y fecha

Más detalles

Sistemas Numéricos y Códigos Binarios

Sistemas Numéricos y Códigos Binarios Sistemas Numéricos y Códigos Binarios Marcelo Guarini Departamento de Ingeniería Eléctrica, 5 de Abril, 5 Sistemas Numéricos en Cualquier Base En el sistema decimal, cualquier número puede representarse

Más detalles

CIRCUITOS COMBINACIONALES

CIRCUITOS COMBINACIONALES Escuela Universitaria de Ingeniería Técnica Industrial de Bilbao Universidad del País Vasco / Euskal Herriko Unibertsitatea ELECTRONICA INDUSTRIAL CIRCUITOS COMBINACIONALES SANCHEZ MORONTA, M - UGALDE

Más detalles

INDICE 1. Operación del Computador 2. Sistemas Numéricos 3. Álgebra de Boole y Circuitos Lógicos

INDICE 1. Operación del Computador 2. Sistemas Numéricos 3. Álgebra de Boole y Circuitos Lógicos INDICE Prólogo XI 1. Operación del Computador 1 1.1. Calculadoras y Computadores 2 1.2. Computadores digitales electrónicos 5 1.3. Aplicación de los computadores a la solución de problemas 7 1.4. Aplicaciones

Más detalles

INDICE Capitulo 1. Álgebra de variables lógicas Capitulo 2. Funciones lógicas

INDICE Capitulo 1. Álgebra de variables lógicas Capitulo 2. Funciones lógicas INDICE Prefacio XV Capitulo 1. Álgebra de variables lógicas 1 1.1. Variables y funciones 1 1.2. Variables lógicas 2 1.3. Valores de una variable lógica 2 1.4. Funciones de una variable lógica 3 1.5. Funciones

Más detalles

El número decimal 57, en formato binario es igual a:

El número decimal 57, en formato binario es igual a: CURSO: ELECTRÓNICA DIGITAL UNIDAD 1: COMPUERTAS LÓGICAS - TEORÍA PROFESOR: JORGE ANTONIO POLANÍA 1. NÚMEROS BINARIOS EJEMPLO En el cuadro anterior, está la representación de los números binarios en formato

Más detalles

Diapositiva 2 La acción de los circuitos lógicos se puede comprender mediante la lógica booleana. Normalmente usaremos tres de sus elementos. Primero

Diapositiva 2 La acción de los circuitos lógicos se puede comprender mediante la lógica booleana. Normalmente usaremos tres de sus elementos. Primero Diapositiva 1 La lógica digital se puede describir a través de los símbolos de la lógica estándar y de sus correspondientes tablas de verdad. Las empresas de electrónica han fabricado chips basados en

Más detalles

EIE 446 - SISTEMAS DIGITALES Tema 9: Contadores. Nombre del curso: Sistemas Digitales Nombre del docente: Héctor Vargas

EIE 446 - SISTEMAS DIGITALES Tema 9: Contadores. Nombre del curso: Sistemas Digitales Nombre del docente: Héctor Vargas EIE 446 - SISTEMAS DIGITALES Tema 9: ontadores Nombre del curso: Sistemas Digitales Nombre del docente: Héctor Vargas OBJETIVOS DE LA UNIDAD Describir la diferencia entre un contador asíncrono y uno síncrono.

Más detalles

TEMA 5. SISTEMAS COMBINACIONALES MSI. INTRODUCCIÓN

TEMA 5. SISTEMAS COMBINACIONALES MSI. INTRODUCCIÓN Circuitos Combinacionales MSI 1 TEMA 5. SISTEMAS COMBINACIONALES MSI. INTRODUCCIÓN Los sistemas combinacionales son aquellos en los que las salidas dependen exclusivamente de las entradas, luego para una

Más detalles

Primeros conmutadores: diodos de cristal y de tubos de vacío (1906). Transistor (TRT): más pequeño y fiable, de material semiconductor (1950).

Primeros conmutadores: diodos de cristal y de tubos de vacío (1906). Transistor (TRT): más pequeño y fiable, de material semiconductor (1950). Código binario en Sistemas Digitales Historia Primeros conmutadores: diodos de cristal y de tubos de vacío (1906). Transistor (TRT): más pequeño y fiable, de material semiconductor (1950). Circuitos integrados

Más detalles

CIRCUITOS ARITMÉTICOS

CIRCUITOS ARITMÉTICOS LABORATORIO # 6 Realización: 26-05-2011 CIRCUITOS ARITMÉTICOS 1. OBJETIVOS Comprender los circuitos aritméticos dentro de la lógica binaria Utilizar sumadores totales de cuatro bits dentro de un Circuito

Más detalles

Decodificadores y Demultiplexores. Pedro Fernández Ignacio de la Rosa

Decodificadores y Demultiplexores. Pedro Fernández Ignacio de la Rosa Decodificadores y Demultiplexores Pedro Fernández Ignacio de la Rosa Decodificadores El trabajo de un decodificador, es recibir como entradas códigos en binario (N bits) y activar una de las M salidas,

Más detalles

Análisis de circuitos combinacionales MSI

Análisis de circuitos combinacionales MSI Análisis de circuitos combinacionales MSI En esta unidad aprenderás a: Identificar y caracterizar las funciones digitales más relevantes de carácter combinacional. Analizar funciones y circuitos combinacionales,

Más detalles

LABORATORIO DE COMPUTADORAS

LABORATORIO DE COMPUTADORAS TP 1 LABORATORIO DE COMPUTADORAS Facultad de Ingeniería. UNJu Tema: Sistemas Numéricos y Diseño Combinacional y Secuencial Apellido y Nombre: LU: Carrera: Fecha: 2013 EJEMPLOS Estándar IEEE 754 El estándar

Más detalles

Tutoría 2. Banco de memoria de 8 y 16 bits (8086)

Tutoría 2. Banco de memoria de 8 y 16 bits (8086) Tutoría 2. Banco de memoria de 8 y 16 bits (8086) RESUMEN Cuando el procesador opera en modo mínimo, éste genera las señales de control para la memoria y los dispositivos de E/S. [1, pág. 292]. Para utilizar

Más detalles

Bloques Aritméticos - Multiplicadores

Bloques Aritméticos - Multiplicadores Bloques Aritméticos - Multiplicadores La multiplicación es una operación cara (en términos de recursos) y lenta Este hecho ha motivado la integración de unidades completas de multiplicación en los DSPs

Más detalles

TEMA III TEMA III. Circuitos Digitales 3.1 REPRESENTACIÓN DE LA INFORMACIÓN 3.2 ALGEBRA DE BOOLE 3.3 MODULOS COMBINACIONALES BÁSICOS

TEMA III TEMA III. Circuitos Digitales 3.1 REPRESENTACIÓN DE LA INFORMACIÓN 3.2 ALGEBRA DE BOOLE 3.3 MODULOS COMBINACIONALES BÁSICOS TEMA III Circuitos Digitales Electrónica II 9- TEMA III Circuitos Digitales 3. REPRESENTACIÓN DE LA INFORMACIÓN 3. ALGEBRA DE BOOLE 3.3 MODULOS COMBINACIONALES BÁSICOS 3. REPRESENTACIÓN DE LA INFORMACIÓN.

Más detalles

Diseño de una calculadora

Diseño de una calculadora DEPARTAMENTO DE TECNOLOGÍA ELECTRÓNICA ESCUELA TÉCNICA SUPERIOR DE INGENIERÍA INFORMÁTICA Diseño de una calculadora Sistemas Digitales Avanzados 1. Introducción y objetivos El propósito general de esta

Más detalles

* En una computadora el microprocesador se comunica con uno de los siguientes dispositivos:

* En una computadora el microprocesador se comunica con uno de los siguientes dispositivos: Funciones incompletas Son funciones cuyo valor puede ser indistintamente 0 ó 1 para algunas combinaciones de las variables de entrada, bien porque dichas combinaciones no vayan a darse nunca en la práctica

Más detalles

Introducción a los Sistemas Digitales

Introducción a los Sistemas Digitales Tema Sistema Estructura y comportamiento Señal analógica y señal digital Señal binaria Sistemas de numeración Representación de números enteros Signo-magnitud Complemento a dos Codificación Códigos numéricos

Más detalles

Tema IV. Unidad aritmético lógica

Tema IV. Unidad aritmético lógica Tema IV Unidad aritmético lógica 4.1 Sumadores binarios 4.1.1 Semisumador binario (SSB) 4.1.2 Sumador binario completo (SBC) 4.1.3 Sumador binario serie 4.1.4 Sumador binario paralelo con propagación del

Más detalles

CIRCUITOS LOGICOS DE TRES ESTADOS.

CIRCUITOS LOGICOS DE TRES ESTADOS. Página 1 CIRCUITOS LOGICOS DE TRES ESTADOS. Las señales lógicas se componen de dos estados normales, Alto y Bajo (1 o 0). Sin embargo, algunas salidas tienen un tercer estado eléctrico que no es un estado

Más detalles

Introducción a los Sistemas Digitales. Tema 1

Introducción a los Sistemas Digitales. Tema 1 Introducción a los Sistemas Digitales Tema 1 Qué sabrás al final del tema? Diferencia entre analógico y digital Cómo se usan niveles de tensión para representar magnitudes digitales Parámetros de una señal

Más detalles

A continuación se mostrarán ejemplos de tres clases de códigos: numéricos, alfanuméricos y de despliegue.

A continuación se mostrarán ejemplos de tres clases de códigos: numéricos, alfanuméricos y de despliegue. Capítulo 3 1 Codificación binaria 3.1. Codificación En un ambiente de sistemas digitales se denomina codificación a la asignación de un significado a una configuración de bits. Al modelar problemas es

Más detalles

28/09/2012. Interfaz con Dispositivos de Salida. Interfaz con Dispositivos de Entrada. Port Mapped. Memory mapped. Interfaz con Dispositivos I/O

28/09/2012. Interfaz con Dispositivos de Salida. Interfaz con Dispositivos de Entrada. Port Mapped. Memory mapped. Interfaz con Dispositivos I/O Interfaz con Dispositivos I/O Interfaz con Dispositivos de Salida y Salida Unidad 4, Segunda Parte Port Mapped Memory mapped 1 2 Ejecución de la Instrucción OUT Ejecución de la instrucción OUT Dirección

Más detalles

Práctica de laboratorio Uso de la Calculadora de Windows con direcciones de red

Práctica de laboratorio Uso de la Calculadora de Windows con direcciones de red Práctica de laboratorio 5.1.4 Uso de la Calculadora de Windows con direcciones de red Objetivos Cambiar entre los dos modos de la Calculadora de Windows. Usar la Calculadora de Windows para la conversión

Más detalles

INDICE 1. Conceptos Introductorias 2. Sistemas Numéricos y Códigos 3. Compuertas Lógicas y Álgebras Booleana 4. Circuitos Lógicos Combinatorios

INDICE 1. Conceptos Introductorias 2. Sistemas Numéricos y Códigos 3. Compuertas Lógicas y Álgebras Booleana 4. Circuitos Lógicos Combinatorios INDICE 1. Conceptos Introductorias 1 1.1. Representaciones numéricas 3 1.2. Sistemas digitales y analógicos 4 1.3. Sistemas numéricos digitales 6 1.4. Representación de cantidades binarias 10 1.5. Circuitos

Más detalles

Sistema electrónico digital (binario) que procesa datos siguiendo unas instrucciones almacenadas en su memoria

Sistema electrónico digital (binario) que procesa datos siguiendo unas instrucciones almacenadas en su memoria 1.2. Jerarquía de niveles de un computador Qué es un computador? Sistema electrónico digital (binario) que procesa datos siguiendo unas instrucciones almacenadas en su memoria Es un sistema tan complejo

Más detalles

Compuertas Lógicas. Apunte N 2

Compuertas Lógicas. Apunte N 2 Compuertas Lógicas Apunte N 2 C o m p u e r t a s Lógicas Las compuertas lógicas son dispositivos que operan con estados lógicos y funcionan igual que una calculadora, de un lado ingresan los datos, ésta

Más detalles

SELECCIÓN DE PROBLEMAS

SELECCIÓN DE PROBLEMAS SELECCIÓN DE PROBLEMAS 1. Representación numérica 1.1. Convertir a hexadecimal y a binario las siguientes cantidades: a) 757.25 10 b) 123.17 10 1.2. Se dispone de palabras de 10 bits. Representar mediante

Más detalles

TEMA 4. MÓDULOS COMBINACIONALES.

TEMA 4. MÓDULOS COMBINACIONALES. TECNOLOGÍA DE COMPUTADORES. CURSO 27/8 TEMA 4. MÓDULOS COMBINACIONALES. 4.. Módulos combinacionales básicos MSI. Los circuitos combinacionales realizados con puertas lógicas implementan funciones booleanas,

Más detalles

Tema: Codificación de canal

Tema: Codificación de canal Tema: Codificación de canal Adriana Dapena Janeiro (adriana@udc.es) Facultad de Informática Universidade da Coruña Campus de Elviña s/n 15071. A Coruña Codificación de canal.- Adriana Dapena p. 1 Objetivos

Más detalles

ÍNDICE AUTORES...13 PRÓLOGO...19 INTRODUCCIÓN...21 SIMBOLOGÍA Y NOMENCLATURA...25 PROGRAMAS UTILIZADOS...29

ÍNDICE AUTORES...13 PRÓLOGO...19 INTRODUCCIÓN...21 SIMBOLOGÍA Y NOMENCLATURA...25 PROGRAMAS UTILIZADOS...29 ÍNDICE AUTORES...13 PRÓLOGO...19 INTRODUCCIÓN...21 SIMBOLOGÍA Y NOMENCLATURA...25 PROGRAMAS UTILIZADOS...29 CAPÍTULO 1. FUNDAMENTOS GENERALES DE LA ELECTRÓNICA GENERAL...35 1.1 SISTEMAS ANALÓGICOS Y DIGITALES...36

Más detalles

Solecmexico Página 1 SUMADOR BINARIO

Solecmexico Página 1 SUMADOR BINARIO Solecmexico Página 1 SUMADOR BINARIO Esta operación es la más común que se realiza en una computadora personal. Ya que las tres operaciones básicas restantes pueden realizarse de igual manera con el principio

Más detalles

CODIFICADORES. Cuando solo una de las entradas está activa para cada combinación de salida, se le denomina codificador completo.

CODIFICADORES. Cuando solo una de las entradas está activa para cada combinación de salida, se le denomina codificador completo. Circuitos Combinacionales MSI CODIFICADORES Son los dispositivos MSI que realizan la operación inversa a la realizada por los decodificadores. Generalmente, poseen 2 n entradas y n salidas. Cuando solo

Más detalles

EIE SISTEMAS DIGITALES Tema 7: Latches, Flip-Flops y Temporizadores. Nombre del curso: Sistemas Digitales Nombre del docente: Héctor Vargas

EIE SISTEMAS DIGITALES Tema 7: Latches, Flip-Flops y Temporizadores. Nombre del curso: Sistemas Digitales Nombre del docente: Héctor Vargas EIE 446 - SISTEMAS DIGITALES Tema 7: Latches, Flip-Flops y Temporizadores Nombre del curso: Sistemas Digitales Nombre del docente: Héctor Vargas OBJETIVOS DE LA UNIDAD Utilizar puertas lógicas para construir

Más detalles

Representación de números enteros: el convenio exceso Z

Representación de números enteros: el convenio exceso Z Representación de números enteros: el convenio exceso Z Apellidos, nombre Martí Campoy, Antonio (amarti@disca.upv.es) Departamento Centro Informàtica de Sistemes i Computadors Escola Tècnica Superior d

Más detalles

Nombre de la asignatura : Teleproceso. Carrera : Ingeniería en Sistemas Computacionales. Clave de la asignatura : SCB-9340

Nombre de la asignatura : Teleproceso. Carrera : Ingeniería en Sistemas Computacionales. Clave de la asignatura : SCB-9340 1. D A T O S D E L A A S I G N A T U R A Nombre de la asignatura : Teleproceso Carrera : Ingeniería en Sistemas Computacionales Clave de la asignatura : SCB-9340 Horas teoría-horas práctica-créditos :

Más detalles

CONTROLES ELÉCTRICOS PRÁCTICA 6: PROGRAMACIÓN DE PLC UNIDAD 5 LIRA MARTÍNEZ MANUEL ALEJANDRO DOCENTE: PACHECO HIPÓLITO JAVIER

CONTROLES ELÉCTRICOS PRÁCTICA 6: PROGRAMACIÓN DE PLC UNIDAD 5 LIRA MARTÍNEZ MANUEL ALEJANDRO DOCENTE: PACHECO HIPÓLITO JAVIER CONTROLES ELÉCTRICOS PRÁCTICA 6: PROGRAMACIÓN DE PLC UNIDAD 5 LIRA MARTÍNEZ MANUEL ALEJANDRO DOCENTE: PACHECO HIPÓLITO JAVIER ENTREGA: 26/11/2010 1 INTRODUCCIÓN La tecnología es cada vez más sencilla de

Más detalles

Unidad 3: Control y programación de sistemas automáticos Tema 1: Sistemas de control: introducción

Unidad 3: Control y programación de sistemas automáticos Tema 1: Sistemas de control: introducción El control analógico es aquel en el que las variables a controlar y las que se procesan en el sistema se presentan de forma continua (analógica), de modo que las relaciones que aparecen entre las señales

Más detalles

ELO211: Sistemas Digitales. Tomás Arredondo Vidal 1er Semestre 2007

ELO211: Sistemas Digitales. Tomás Arredondo Vidal 1er Semestre 2007 ELO211: Sistemas Digitales Tomás Arredondo Vidal 1er Semestre 2007 Este material está basado en: textos y material de apoyo: Contemporary Logic Design 1 st / 2 nd edition. Gaetano Borriello and Randy Katz.

Más detalles

ESTRUCTURA Y TECNOLOGÍA DE COMPUTADORES PRÁCTICAS DE LÓGICA CABLEADA

ESTRUCTURA Y TECNOLOGÍA DE COMPUTADORES PRÁCTICAS DE LÓGICA CABLEADA ESTRUCTURA Y TECNOLOGÍA DE COMPUTADORES PRÁCTICAS DE LÓGICA CABLEADA INGENIERÍA TÉCNICA EN INFORMÁTICA DE GESTIÓN - 2008 PRÁCTICAS DE ESTRUCTURA Y TECNOLOGÍA DE COMPUTADORES Página 2 INTRODUCCIÓN En el

Más detalles

MATRIZ DE VALORACIÓN O RÚBRICA. Actividad de evaluación:

MATRIZ DE VALORACIÓN O RÚBRICA. Actividad de evaluación: 10. Matriz de valoración ó rúbrica MATRIZ DE VALORACIÓN O RÚBRICA Siglema: OPCI módulo: Operación de circuitos electrónicos digitales alumno: Docente evaluador: Resultado de aprendizaje: 1.2 Opera circuitos

Más detalles

La Unidad Procesadora.

La Unidad Procesadora. La Unidad Procesadora. En un sistema digital complejo, la capa de hardware de la máquina es el nivel más bajo del modelo de capas de un sistema microcomputarizado. La unidad procesadora es una parte del

Más detalles

Circuitos lógicos MSI Combinacionales

Circuitos lógicos MSI Combinacionales Departamento de Electrónica Electrónica Digital Circuitos lógicos MSI Combinacionales Facultad de Ingeniería Bioingeniería Universidad Nacional de Entre Ríos 1 Temario Decodificadores / Conversores de

Más detalles

INSTITUTO POLITÉCNICO NACIONAL ESCUELA SUPERIOR DE INGENIERÍA MECÁNICA Y ELÉCTRICA INGENIERÍA EN COMUNICACIONES Y ELECTRÓNICA

INSTITUTO POLITÉCNICO NACIONAL ESCUELA SUPERIOR DE INGENIERÍA MECÁNICA Y ELÉCTRICA INGENIERÍA EN COMUNICACIONES Y ELECTRÓNICA INSTITUTO POLITÉCNICO NACIONAL ESCUELA SUPERIOR DE INGENIERÍA MECÁNICA Y ELÉCTRICA INGENIERÍA EN COMUNICACIONES Y ELECTRÓNICA PRÁCTICAS DE CIRCUITOS LÓGICOS LABORATORIO DE COMPUTACIÓN IV PRÁCTICA 6 NOMBRE

Más detalles

Tema 9. SISTEMAS COMBINACIONALES PROGRAMABLES SISTEMAS COMBINACIONALES PROGRAMABLES NO UNIVERSALES

Tema 9. SISTEMAS COMBINACIONALES PROGRAMABLES SISTEMAS COMBINACIONALES PROGRAMABLES NO UNIVERSALES Fundamentos de Computadores. Sistemas Combinacionales Programables. T9-1 Tema 9. SISTEMAS COMBINACIONALES PROGRAMABLES INDICE: INTRODUCCIÓN CLASIFICACION DE LOS SCP SISTEMAS COMBINACIONALES PROGRAMABLES

Más detalles

Circuitos Electrónicos Digitales E.T.S.I. Telecomunicación Universidad Politécnica de Madrid. Circuitos Secuenciales

Circuitos Electrónicos Digitales E.T.S.I. Telecomunicación Universidad Politécnica de Madrid. Circuitos Secuenciales Circuitos Electrónicos Digitales E.T.S.I. Telecomunicación Universidad Politécnica de Madrid Circuitos Secuenciales Circuitos secuenciales. Biestables. Registros. Contadores. Registros de desplazamiento

Más detalles

Aritmética de Enteros

Aritmética de Enteros Aritmética de Enteros La aritmética de los computadores difiere de la aritmética usada por nosotros. La diferencia más importante es que los computadores realizan operaciones con números cuya precisión

Más detalles