Multiplicador Digital
|
|
- Monica Cano Villalba
- hace 5 años
- Vistas:
Transcripción
1 Multiplicador Digital Dr. Andrés David García García Departamento de Mecatrónica Escuela de Ingeniería y Ciencias
2 Multiplicador Existen varios métodos básicos para el cálculo de la multiplicación de dos números (A, B) de N bits: Almacenamiento de los 2 2*N resultados posibles en una memoria ROM y utilizar los 2*N bits para el direccionamiento. Calcular los 2 N funciones lógicas y realizar la suma correspondiente. Con base en la codificación anterior optimizar teniendo en cuenta una relación de dependencia entre los números A y B y el resultado M. 2
3 Multiplicador La multiplicación consiste en una serie de operaciones AND entre los distintos bits y una serie de sumas. A[3..0] B[3..0] Se requieren de 2 N compuertas AND. Se requiere de N sumadores de N bits Problema: Extensión del signo. Problema: Tratamiento del signo del operando B. MULT (AxB) M[7..0] 3
4 Multiplicación A3 A2 A1 A0 A X B3 B2 B1 B0 B B A/0 A/2 A/1 A A codificado (+/-)(A/N)2 i + A*B A/3 R= Bi A 2 i 4
5 Codificación de los productos parciales a 3 a 2 a 1 a 0 a 3 b 0 a 2 b 0 a 1 b 0 a 0 b 0 b 0 a 3 b 1 a 2 b 1 a 1 b 1 a 0 b 1 b 1 a 3 b 2 a 2 b 2 a 1 b 2 a 0 b 2 b 2 a 3 b 3 a 2 b 3 a 1 b 3 a 0 b 3 b 3 M 7 M 6 M 5 M 4 M 3 M 2 M 1 M 0 Arreglo de compuertas AND ADGG / LFGP 5
6 Multiplicación A3 A2 A1 A0 B0 A3/0 A2/0 A1/0 A0/0 B1 A3/1 A2/1 A1/1 A0/1 B2 A3/2 A2/2 A1/2 A0/2 B3 A3/3 A2/3 A1/3 A0/3 Matriz de sumas M7 M6 M5 M4 M3 M2 M1 M0 6
7 Productos Parciales. Descripción en VHDL ENTITY encod_mul IS PORT ( A, B : IN std_logic_vector(3 downto 0); PARTIAL: OUT std_logic_vector(15 downto 0)); END encod_mul; ARCHITECTURE mx OF encod_mul IS BEGIN PARTIAL(0) <= A(0) and B(0); PARTIAL(1) <= A(1) and B(0); PARTIAL(2) <= A(2) and B(0); PARTIAL(3) <= A(3) and B(0); PARTIAL(4) <= A(0) and B(1); PARTIAL(5) <= A(1) and B(1); PARTIAL(6) <= A(2) and B(1); PARTIAL(7) <= A(3) and B(1); PARTIAL(8) <= A(0) and B(2); PARTIAL(9) <= A(1) and B(2); PARTIAL(10) <= A(2) and B(2); PARTIAL(11) <= A(3) and B(2); PARTIAL(12) <= A(0) and B(3); PARTIAL(13) <= A(1) and B(3); PARTIAL(14) <= A(2) and B(3); PARTIAL(15) <= A(3) and B(3); END mx; 7
8 Multiplicación A3/1 A2/1 A3/0 A1/1 A2/0 A0/1 A1/0 A0/0 HA FA FA HA A3/2 A2/2 A1/2 A0/2 FA FA FA HA A3/3 A2/3 A1/3 A0/3 FA FA FA HA M7 M6 M5 M4 M3 M2 M1 M0 8
9 Multiplicación Si suponemos que todos los productos intermedios se calculan en un tiempo T, y que cada sumador realiza su operación en un tiempo ts, el resultado para una multiplicación de dos números de N bits será igual al número de operadores de suma que compone el camino crítico: Total de células sumadoras: 12 (8 FA, y 4 HA) CAMINO CRÍTICO: 10 (8 FA, 2 HA) 9
10 Multiplicación A3/1 A2/1 A3/0 A1/1 A2/0 A0/1 A1/0 A0/0 HA FA FA HA A3/2 A2/2 A1/2 A0/2 FA FA FA HA A3/3 A2/3 A1/3 A0/3 FA FA FA HA M7 M6 M5 M4 M3 M2 M1 M0 10
11 Arreglo de sumas. Descripción en VHDL entity SUM_MUL is port (PARTIAL: in std_logic_vector(15 downto 0); MI : out std_logic_vector(7 downto 0)); end SUM_MUL; architecture ARC of SUM_MUL is component HalfAdder is PORT ( Aha, Bha : in std_logic; Sha, Co_ha : out std_logic); end component HalfAdder; component FullAdder is PORT ( Afa, Bfa, Cin_fa : in std_logic; Sfa, Co_fa : out std_logic); end component FullAdder; signal C : std_logic_vector (8 downto 1); signal S : std_logic_vector (6 downto 1); begin I0 : halfadder port map (PARTIAL(1), PARTIAL(4), MI(1), C(1)); I1 : fulladder port map (PARTIAL(2), PARTIAL(5), C(1), S(1), C(2)); I2 : fulladder port map (PARTIAL(3), PARTIAL(6), C(2), S(2), C(3)); I3 : halfadder port map (PARTIAL(7), C(3), S(3), C(4)); I4 : halfadder port map (S(1), PARTIAL(8), MI(2), C(5)); I5 : fulladder port map (S(2), PARTIAL(9), C(5), S(4), C(6)); I6 : fulladder port map (S(3), PARTIAL(10), C(6), S(5), C(7)); I7 : fulladder port map (PARTIAL(11), C(4), C(7), S(6), C(8)); I8 : halfadder port map (PARTIAL(12),S(4), MI(3), C(9)); I9 : halfadder port map (PARTIAL(13),S(5), C(9), MI(4), C(10)); I10: fulladder port map (PARTIAL(14), S(6), C(10), MI(5), C(12)); I11: fulladder port map (PARTIAL(15), C(8), C(11), MI(6), MI(7)); end ARC; 11
12 Multiplicación Descripción de la multiplicación sin signo en dos bloques: A3 A2 A1 A0 Codificador A/N B0 B1 B2 B3 Sumatoria de A/N M7 M6 M5 M4 M3 M2 M1 M0 12
13 Multiplicación de números con signo Multiplicación de números negativos: Representación en complemento a 2. Si B es negativo, entonces el último producto parcial se obtiene con el complemento a 2 de A: B3*2 3 *(/A+1) = 2 3 *(B3*/A+B3) En este caso si B3= 1 se realiza el complemento a 2 y el ajuste. Si B3= 0 el producto parcial es cero y no hay ajuste. 13
14 Extensión de signo (Si B es negativo) A3 A2 A1 A0 B0 A3/0 A2/0 A1/0 A0/0 B1 A3/1 A2/1 A1/1 A0/1 B2 A3/2 A2/2 A1/2 A0/2 B3 A3/3 A2/3 A1/3 A0/3 Matriz de sumas M7 M6 M5 M4 M3 M2 M1 M0 14
15 Multiplicación de números con signo Multiplicación de números negativos: Si A y B son negativos, se representan en 2 y se expande el resultado de cada producto intermedio copiando el MSb. También se aplica el ajuste anterior (B negativo) Si sólo A es negativo solamente se expande el resultado de cada producto intermedio copiando el MSb. 15
16 Multiplicación Realice los siguientes ejercicios: (5) X (6) (-3) X (5) (-5) X (-6) 16
17 Multiplicación de números con signo Solución de los ejercicios: Extensión de signo (5) X (6) (30) (-3) X (5) (-15) (-5) X (-6) (30) Complemento a 2 17
18 Multiplicación de números con signo Efectuar el corrimiento hacia la izquierda y extensión de signo. El último operando se representa en complemento a 2 de A si B es negativo (MSB = 1 ), en otro caso, el último operando es cero: A b N A N 1 b A b 2 N 1 N 1 N Físicamente, la implementación consiste en complementar el operando A y sumar el término: b N N
19 Multiplicación de números con signo a 3 a 2 a 1 a 0 -a 3 b 0 a 2 b 0 a 1 b 0 a 0 b 0 b 0 -a 3 b 1 a 2 b 1 a 1 b 1 a 0 b 1 b 1 -a 3 b 2 a 2 b 2 a 1 b 2 a 0 b 2 b 2 -a 3 b 3 a 2 b 3 a 1 b 3 a 0 b 3 b 3 b 3 M 7 M 6 M 5 M 4 M 3 M 2 M 1 M 0 19
20 Multiplicación de números con signo A3 A2 A1 A0 B0 A3/0 A3/0 A2/0 A1/0 A0/0 B1 A3/1 A3/1 A2/1 A1/1 A0/1 B2 A3/2 A3/2 A2/2 A1/2 A0/2 B3 A3/3 A2/3 A1/3 A0/3 Matriz de sumas 2 3 B3 M7 M6 M5 M4 M3 M2 M1 M0 20
21 Multiplicación de números con signo La codificación de los productos parciales se realiza en paralelo. N La suma del término: b 12 1 N se realizará en el arreglo sumador. El camino crítico se compone de una AND y una compuerta NOT. La velocidad del multiplicador dependerá en gran medida del bloque de sumas 21
22 Multiplicación Para acelerar el resultado de la multiplicación se debe optimizar el camino crítico, es decir, el camino mas largo que deben recorrer las entradas A y B para generar el resultado M. En este caso, todos los productos intermedios estarán calculados al mismo tiempo por lo que no representan parte del camino crítico. El camino crítico estará definido por la suma de productos intermedios mas grande. 22
23 Multiplicador Serie-Paralelo La Multiplicación puede ser re-estructurada en base a operaciones simples a realizarse de forma sucesiva o iterativa. Esto permite reducir drásticamente la complejidad material del circuito. Arquitectura Serie-Paralelo: Multiplicar el operando A con cada bit del operando B Recorrer hacia la izquierda cada producto parcial Acumular los productos parciales conforme se generan Utilizar un circuito síncrono para controlar el proceso 23
24 Multiplicador Serie-Paralelo x (-36) Operando A Operando B A x B0 A x B1 A x B2 A x B3 Shift a la Izquierda según la potencia de n 2 que corresponda a cada bit de B El resultado es producto de una suma de todos los productos parciales 24
25 Multiplicador Serie-Paralelo x (-36) x Shift Add Shift Add Shift Add Shift ADD 25
26 Multiplicador Serie-Paralelo Producto parcial = 0 Producto parcial = A Producto parcial = 0 Producto parcial = A x Shift Add Shift Add Shift Add Shift ADD 26
27 Arquitectura del circuito 0 A B (serial) MUX Fast Adder Shift / Accumulation Register 27
28 Arquitectura del circuito El multiplicador Serie-Paralelo es un circuito secuencial La arquitectura consiste de: Un multiplexor: Producto Parcia = 0, si Bn = 0 Producto Parcial = A, si Bn = 1 Sumador: suma la salida del multiplexor (A ó 0) que corresponde al producto parcial Bn con el contenido del registro (Producto Parcial previamente acumulado correspondiente a Bn-1) Shift / accumulation register: Almacena el resultado en el acumulador y realiza el corrimiento (shift) En lugar de hacer corrimientos hacia la izquierda (como se haría en la multiplicación normal) se hace un corrimiento a la derecha del contenido del acumulador 28
29 Diseño del circuito Requerimientos para un multiplicador de n-bits Un registro de n-bits para el multiplicando Un registro de n-bits para el multiplicador Un registro de corrimiento para el producto de 2n-bits El registro del producto también se usa como registro acumulador para almacenar las sumas de los productos parciales conforme son generados IDEA: al usar una arquitectura iterativa de este tipo, el corrimiento dentro del registro de 2n-bits es hacia la derecha. explique porqué? 29
30 Arquitectura del circuito CLK Accumulator Shift sense Multiplier (B) Ad : Add enable signal Sh : Shift enable signal C : Carry out signal M: Multiplier bit M C Adder Ad Control (FSM) Start CLK Multiplicand (A) Sh 30
31 Arquitectura del circuito: Ejemplo Multiplicar 13x11 = 143 ( 1101 x 1011 = ) Contenido inicial del registro ( "A" en M 1) Después de sumar Shift ( "A" en M 1) Despúes de sumar Shift (Salto de suma en M 0) Shift ( "A" en M 1) Después de sumar Shift (Resultado final) M M M M Línea divisoria entre el producto y el multiplicador 31
32 Arquitectura del circuito Pseudo-código Máquina de Estados A, B son los operandos (n bits) P es el registro en donde se almacenará el producto final P = 0; // inicialización for i=0 to n-1 do if b i = 1 then P = P + A; end if; left-shift A; end for; 32
33 Desempeño: El cálculo de la multiplicación a través de un arreglo serieparalelo es más pequeño que un multiplicador paralelo tradicional Serie-paralelo: una multiplicación en B ciclos de reloj Paralelo: una multiplicación por ciclo de reloj Sin embargo, el camino crítico es mas pequeño en el arreglo serie-paralelo El circuito puede operar a frecuencias de reloj superiores 33
Diseño de Operadores Aritméticos
Diseño de Operadores Aritméticos Dr. Andrés David García García Departamento de Mecatrónica ITESM-CEM Algoritmos de procesamiento digital de señales Los algoritmos de DSP basan su funcionamiento en operadores
Más detallesUNIVERSIDAD CARLOS III DE MADRID. Ejercicios de VHDL. Circuitos Integrados y Microelectrónica. Luis Entrena. Celia López.
UNIVERSIDAD CARLOS III DE MADRID Ejercicios de VHDL Circuitos Integrados y Microelectrónica Luis Entrena Celia López Mario García Enrique San Millán Marta Portela Almudena Lindoso Problema 1 Se pretende
Más detallesSumadores. Tipos de sumadores: Half-adder. Full-Adder. Carry-Look-Ahead. Carry-select.
Sumadores En electrónica un sumador es un circuito lógico que calcula la operación suma. En los computadores modernos se encuentra en lo que se denomina Unidad aritmético lógica (ALU). Generalmente realizan
Más detallesPRUEBA DE ENTRADA NOMBRE : FECHA: / /2005 CÓDIGO : LAB. Nº: 4 HORARIO: H-441
CÓDIGO : LAB. Nº: 4 HORARIO: H-441 1. Con sumadores completos de 1 bit, elabore un circuito que obtenga el valor absoluto de un número en complemento a 2 de 4 bits. La salida tendrá 4 bits sin signo. (2
Más detalles4. SUMADORES EN BINARIO PURO (I)
TEMA 3: SISTEMAS ARITMÉTICOS Introducción y objetivos (3). Representación y codificación de la información (4-7) 2. Sistemas numéricos posicionales. Binario, hexadecimal, octal, y BCD. (8-33) 3. Números
Más detalles2. Sumadores. Diagrama. Donde a y b son los bits a sumar, S el. resultado de la suma y C el acarreo generado. b EB. Circuito. Tabla de verdad.
2. Sumadores Los sumadores son cirtuitos muy utilizados en muchos tipos de sistemas digitales en los que se procesan datos numéricos. Para comprender su diseño y funcionamiento se parte del diseño de un
Más detallesPRACTICA 6: CIRCUITOS ARITMETICOS: SUMADORES Y RESTADORES.
PRACTICA 6: CIRCUITOS ARITMETICOS: SUMADORES Y RESTADORES. Sumadores básicos: Los sumadores son muy importantes no solamente en las computadoras, sino en muchos pos de sistemas digitales en los que se
Más detallesDE INGENIERÍA TÉCNICA INDUSTRIAL. ESPECIALIDAD EN ELECTRÓNICA INDUSTRIAL
EJERCICIOS DE SISTEMAS ELECTRÓNICOS DIGITALES: HOJA 2 2 o CURSO DE INGENIERÍA TÉCNICA INDUSTRIAL. ESPECIALIDAD EN ELECTRÓNICA INDUSTRIAL LENGUAJES DE ALTO NIVEL 1) Realiza en RTL un comparador de dos buses
Más detallesPractica No. 5 Diseño de un Multiplicador
Practica No. 5 Diseño de un Multiplicador Objetivo: Diseñar un módulo de multiplicación utilizando diferentes métodos, entender las ventajas y desventajas de cada uno de ellos. Aprender a usar procesos
Más detallesPractica No. 5 Diseño de un Multiplicador
Practica No. 5 Diseño de un Multiplicador Objetivo: Diseñar un módulo de multiplicación utilizando diferentes métodos, entender las ventajas y desventajas de cada uno de ellos. Aprender a usar procesos
Más detallesTitulación: Ingeniería Informática Asignatura: Fundamentos de Computadores. Bloque 3: Sistemas secuenciales Tema 9: Módulos secuenciales básicos
Titulación: Ingeniería Informática Asignatura: Fundamentos de Computadores Bloque 3: Sistemas secuenciales Tema 9: Módulos secuenciales básicos Pablo Huerta Pellitero Luis Rincón Córcoles ÍNDICE Bibliografía
Más detallesBloques Aritméticos - Multiplicadores
Bloques Aritméticos - Multiplicadores La multiplicación es una operación cara (en términos de recursos) y lenta Este hecho ha motivado la integración de unidades completas de multiplicación en los DSPs
Más detallesINGENIERÍA DE COMPUTADORES III. Solución al examen de Septiembre 2014
Solución al examen de Septiembre 2014 PREGUNTA 1 (2 puntos) Tomando como base el siguiente código VHDL, dibuje el cronograma de evolución de las señales x1, x2, x3, temp4, temp5, temp6 y x4 entre los instantes
Más detallesINGENIERÍA DE COMPUTADORES III. Solución al Ejercicio de Autocomprobación 1
INGENIERÍA DE COMPUTADORES III Solución al Ejercicio de Autocomprobación PREGUNTA (3 puntos) Escriba en VHDL la architecture que describe el comportamiento de un contador síncrono ascendente módulo 4 en
Más detallesSistemas Electrónicos Digitales Curso de adaptación al Grado
Práctica Práctica 2 Sistemas Electrónicos Digitales Curso de adaptación al Grado Sistemas combinacionales con VHDL Universidad de Alicante Ángel Grediaga 2 Índice INTRODUCCIÓN... 3 2 CIRCUITOS COMBINACIONALES...
Más detallesVHDL. Lenguaje de descripción hardware
VHDL. Lenguaje de descripción hardware Modelado combinacional 26 A.G.O. All Rights Reserved Modelado combinacional El modelado de sistemas combinacionales es muy sencillo, puesto que en todo momento las
Más detalles8. Multiplexores Digitales
8. Multiplexores Digitales El multiplexor permite seleccinar del total de líneas de entrada una única y trasladar la información que conlleva dicha línea a la salida del circuito. Suele utilizarse para
Más detallesModelos de Circuitos FCHE 2011
Modelos de Circuitos Secuenciales: Mealy y Moore FCHE 20 Modelos/Maquinas/Autómatas Mealy: las salidas están en función de dos, el estado presente y las entrada. Moore: Las salidas están en función del
Más detallesINGENIERÍA DE COMPUTADORES III. Solución al Ejercicio de Autocomprobación 4
INGENIERÍA DE COMPUTADORES III Solución al Ejercicio de Autocomprobación 4 PREGUNTA (2 puntos) Tomando como base el siguiente código VHDL, dibuje el cronograma de evolución de las señales in, in2, s, s2,
Más detallesINGENIERÍA DE COMPUTADORES 3. Solución al examen de Junio 2017, Primera Semana
Solución al examen de Junio 2017, Primera Semana PREGUNTA 1 (2 puntos) Tomando como base el siguiente código VHDL, dibuje el cronograma de evolución de las señales x1, x2, x3, x4 y x5 entre los instantes
Más detallesOperadores y atributos en VHDL [1]
Operadores y atributos en VHDL [1] Sistemas Digitales Avanzados Universidad Técnica Particular de Loja Prof: Diego Barragán Guerrero Oct. 2014 - Feb. 2015 Sistemas Digitales Avanzados (UTPL) IET Oct. 2014
Más detallesRecursos y Metodologías. Función. Programas
Recursos y Metodologías Sistema Digital Estructura { + Función Descripción Datos R. Cómputo R. Almacenamiento R. Conexionado { Comandos Implementación Full-custom Semi-custom Gate Arrays Programas Lenguaje
Más detallesSesión 1: Introducción al lenguaje VHDL. S1 1 Laboratorio de Estructura de Computadores Curso 04 / 05
Sesión 1: Introducción al lenguaje VHDL S1 1 AREAS DE APLICACION DE VHDL Síntesis i1 suma Documentación Diagnosis de Fallos i1 i2 - Circuito semisumador Componentes: puerta AND puerta OR puerta XOR......
Más detallesCódigo concurrente en VHDL [5]
Código concurrente en VHDL [5] Lab. Sistemas Digitales Universidad Técnica Particular de Loja Prof: Diego Barragán Guerrero Oct. 2014 - Feb. 2015 Lab. Sistemas Digitales (UTPL) IET Oct. 2014 - Feb. 2015
Más detallesINGENIERÍA DE COMPUTADORES 3. Solución al examen de Junio 2013, Segunda Semana
Solución al examen de Junio 2013, Segunda Semana PREGUNTA 1 (2 puntos) Tomando como base el siguiente código VHDL, dibuje el cronograma de evolución de las señales x1, x2, x3, x4, x5 entre los instantes
Más detallesINGENIERÍA DE COMPUTADORES III. Solución al Ejercicio de Autocomprobación 8
INGENIERÍA DE COMPUTADORES III Solución al Ejercicio de Autocomprobación 8 PREGUNTA 1 (3 puntos) Escriba en VHDL, de las formas que se detallan a continuación, la architecture que describe el comportamiento
Más detallesINGENIERÍA DE COMPUTADORES 3. Solución al examen de Septiembre 2016
Solución al examen de Septiembre 2016 PREGUNTA 1 (2 puntos) Tomando como base el siguiente código VHDL, dibuje el cronograma de evolución de las señales x1, x2, x3 y x4 entre los instantes 0 y 100 ns.
Más detallesArquitectura de Computadoras para Ingeniería
Arquitectura de Computadoras para Ingeniería (Cód. 7526) Cuatrimestre 26 Dra. DCIC - UNS Operaciones Aritméticas Implementación de las operaciones aritméticas básicas: ) Suma 2) Resta 3) Multiplicación
Más detallesPipeline. Diseño de Sistemas con FPGA 1er cuatrimestre 2009 Patricia Borensztejn
Pipeline Diseño de Sistemas con FPGA 1er cuatrimestre 2009 Patricia Borensztejn Volvemos a los sumadores Queremos implementar un sumador de números grandes, de 128 bits. (n=128) con un sumador de 32 bits
Más detallesLos multiplexores son dispositivos de varias entradas que permiten seleccionar la señal que llega a una de éstas y transmitirla a la salida.
Los multiplexores son dispositivos de varias entradas que permiten seleccionar la señal que llega a una de éstas y transmitirla a la salida. En electrónica digital un multiplexor equivale a un conmutador.
Más detallesSumador Ripple-Carry
Sumador Ripple-Carry Sumador de N bits conectando en cascada N circuitos sumadores completos (FA) conectando C o,k-1 a C i,k para k=1 a N- 1 y con C i,0 conectado a 0 Sumador Ripple-Carry El retardo del
Más detallesCircuitos electrónicos digitales
Circuitos electrónicos digitales Universidad de Sevilla Tema 6 Unidades aritméticas y lógicas Índice Introducción Aritmética binaria Circuitos sumadores básicos Sumador de n bits Sumador/Restador Unidad
Más detallesAritmética de Computadores y Arquitecturas para el Procesado Digital de Señales Curso
Aritmética de Computadores y Arquitecturas para el Procesado Digital de Señales Curso 2011-2012 Práctica 1: Diseño de un multiplicador sencillo de forma combinacional, segmentado (pipeline) y secuencial
Más detallesMultiplicación. Multiplicación. Martín Vázquez Arquitectura I - Curso 2013 UNICEN. Notación dot
Multiplicación Martín Vázquez Arquitectura I - Curso 23 UNICEN Multiplicación 2 Multiplicación p b 3.a. 3 b 2.a. 2 b.a. b.a. b x a Notación dot p b 3.a.2 3 b 2.a.2 2 b.a.2 b.a.2 b x a Multiplicación decimal
Más detallesDISEÑO DE PROCESADORES DEDICADOS. Práctica 6 LCD de Propósito General
DISEÑO DE PROCESADORES DEDICADOS Instituto Politécnico Nacional Práctica 6 LCD de Propósito General Campo 1: Datos Personales. Centro de Innovación y Desarrollo Tecnológico en Cómputo CIDETEC Mayo 2015
Más detalles^6+1 2^5+1 2^2+1 2^1+1 2^ ^6+1 2^0-65.
ELECTRÓNICA DIGITAL 23-I-2014 PREGUNTAS TEÓRICO PRÁCTICAS: 1. Determinar el valor decimal de los números expresados en Complemento a 2. (0.25 puntos). 10011001 10011000 01100111 1 2^6+1 2^5+1 2^2+1 2^1+1
Más detallesINFORMÁTICA INDUSTRIAL. 3º INGENIERÍA TÉCNICA INDUSTRIAL. ESPECIALIDAD ELECTRÓNICA BOLETÍN 2. CURSO 2003/04
INFORMÁTICA INDUSTRIAL. 3º INGENIERÍA TÉCNICA INDUSTRIAL. ESPECIALIDAD ELECTRÓNICA BOLETÍN 2. CURSO 2003/04 1. Dado un decodificador de dos entradas (DEC 2:4), dar la descripción estructural, la descripción
Más detallesINGENIERÍA DE COMPUTADORES III. Solución al Ejercicio de Autocomprobación 5
INGENIERÍA DE COMPUTADORES III Solución al Ejercicio de Autocomprobación 5 PREGUNTA 1 (2 puntos) Tomando como base el siguiente código VHDL, dibuje el cronograma de evolución de las señales x, z1 y z2
Más detallesEn este capítulo se habla sobre las distintas arquitecturas y algoritmos de multiplicación rápida que se encontraron en la bibliografía.
Capítulo 4 Algoritmos de multiplicación rápida En este capítulo se habla sobre las distintas arquitecturas y algoritmos de multiplicación rápida que se encontraron en la bibliografía. Los sistemas en un
Más detallesSistemas Digitales - Examen temas 1, 2 y 3 - (6 de Abril 2016)
Sistemas Digitales - Examen temas, 2 y 3 - (6 de Abril 206) EXAMEN RESUELTO Problema-. Modelo-A (Calificación 0 puntos) Se quiere diseñar un circuito digital, tal que, dado un número en código octal de
Más detallesCircuitos electrónicos digitales. Unidades Aritméticas Lógicas. Departamento de Tecnología Electrónica Universidad de Sevilla
Circuitos electrónicos digitales Unidades Aritméticas Lógicas Índice Introducción Circuitos sumadores básicos Sumador paralelo de n bits Sumador/Restador Unidad aritmético-lógica (ALU) Introducción Los
Más detallesTEMA 5.3 SISTEMAS DIGITALES
TEMA 5.3 SISTEMAS DIGITALES TEMA 5 SISTEMAS DIGITALES FUNDAMENTOS DE ELECTRÓNICA 08 de enero de 2015 TEMA 5.3 SISTEMAS DIGITALES Introducción Sistemas combinacionales Sistemas secuenciales TEMA 5.3 SISTEMAS
Más detallesLaboratorio de Arquitectura de Computadoras
Laboratorio de Arquitectura de Computadoras CPU de cuatro instrucciones Oscar Alvarado Nava oan@azc.uam.mx Departamento de Electrónica División de Ciencias Básicas e Ingeniería Universidad Autónoma Metropolitana,
Más detallesCircuitos Electrónicos Digitales E.T.S.I. Telecomunicación Universidad Politécnica de Madrid. Circuitos combinacionales
Circuitos Electrónicos Digitales E.T.S.I. Telecomunicación Universidad Politécnica de Madrid Circuitos combinacionales Puertas lógicas simples y complejas. Multiplexores. Elementos varios: codificadores
Más detalles13-Bloques Básicos Secuenciales
3-Bloques Básicos Secuenciales 3. Bloques básicos 3.2 Ejemplos de diseños 3: Bloques Básicos Bloques Básicos Secuenciales Bloques básicos secuenciales Contadores Registros de desplazamiento (o corrimiento)
Más detallesRealizar un descripción VHDL de las siguientes funciones lógicas, o grupos de funciones lógicas
Problemas propuestos Realizar un descripción VHDL de las siguientes funciones lógicas, o grupos de funciones lógicas F(A, B, C) = AB + A B C F(A, B, C, D) = C (A + D) (A + B + D) F(A, B, C, D) = A + B
Más detallesElectrónica Digital. Capítulo 1: Circuitos Digitales. Circuitos combinacionales. (2/3)
Capítulo 1: Circuitos Digitales Circuitos combinacionales (2/3) Índice Introducción Circuitos combinacionales Multiplexores Demultiplexores Decodificadores No excitadores/excitadores Codificadores Con
Más detallesINGENIERÍA DE COMPUTADORES III. Solución al Ejercicio de Autocomprobación 6
INGENIERÍA DE COMPUTADORES III Solución al Ejercicio de Autocomprobación 6 PREGUNTA 1 (2 puntos) Tomando como base el siguiente código VHDL, dibuje el cronograma de evolución de las señales x, z1,z2 y
Más detalles6. Codificadores. Electrónica Digital. Tema
6. Codificadores Un codificador realiza la función inversa al decodificador, es decir, al activarse una de las entradas, en la salida aparece la combinación binaria correspondiente al número decimal activado
Más detallesArquitectura de Computadoras
Arquitectura de Computadoras (Cód. 5561) 1 Cuatrimestre 2016 Dra. Dana K. Urribarri DCIC - UNS Dana K. Urribarri AC 2016 1 Multiplicadores (continuación) Dana K. Urribarri AC 2016 2 Reducir el número de
Más detallesSistemas Digitales - Examen temas 1, 2 y 3 - (6 de Abril 2016)
EXAMEN RESUELTO Problema-. Modelo-B (Calificación puntos) Se quiere diseñar un circuito digital, tal que, dado un número en código octal de una sola cifra en su entrada, este circuito: ) Indique, si el
Más detallesUniversidad Autónoma de Querétaro Facultad de Ingeniería
Universidad Autónoma de Querétaro Facultad de Ingeniería Manual de Prácticas Sistemas Digitales con Lógica Reconfigurable (SDLRI) Que como parte de los requisitos para obtener el grado de Ingeniero en
Más detallesDescripción en VHDL de circuitos aritméticos para campos finitos GF(2 m )
Descripción en VHDL de circuitos aritméticos para campos finitos GF(2 m ) Presenta: Mario Alberto García-Martínez 1 Contenido Introducción Generalidades El exponenciador para GF(2 m ) El multiplicador
Más detallesFundamentos de Computadores. Tema 5. Circuitos Aritméticos
Fundamentos de Computadores Tema 5 Circuitos Aritméticos OBJETIVOS Conceptuales: Suma y resta binaria Implementaciones hardware/software Circuito sumador y semi-sumador básico Sumadores/restadores de n
Más detallesTema 3: Operaciones aritméticas y lógicas
Tema 3: Operaciones aritméticas y lógicas S Suma-resta en base dos S Operaciones lógicas: OR, AND, XOR y NOT S Operaciones de desplazamiento S Suma-resta en los diferentes sistemas de representación de
Más detallesCircuitos Lógicos Combinatorios. Ing. Jorge Manrique 2004 Sistemas Digitales 1
Circuitos Lógicos Combinatorios Ing. Jorge Manrique 2004 Sistemas Digitales 1 Circuitos Combinatorios Un circuito combinatorio es un arreglo de compuertas lógicas con un conjunto de entradas y salidas.
Más detallesINGENIERÍA DE COMPUTADORES III. Solución al Ejercicio de Autocomprobación 3
INGENIERÍA DE COMPUTADORES III Solución al Ejercicio de Autocomprobación 3 PREGUNTA (2 puntos).a) ( punto) Dibuje el diagrama conceptual correspondiente al fragmento de código Fragmento..b) ( punto) Dibuje
Más detallesPrincipales subsistemas digitales en CMOS-
Ingeniería Técnica de Telecomunicación SS. EE. Curso 3º Microelectrónica I 2010/11 Resumen TEMA 4. VLSI Principales subsistemas digitales en CMOS- Lógica dinámica. Estructuras lógicas síncronas con señales
Más detallesSISTEMAS DIGITALES CONTROL 1 (31/3/2014) APELLIDOS DNI: Firma NOMBRE MODELO DE EXAMEN A
APELLIDOS DNI: Firma NOMBRE MODELO DE EXAMEN A PROBLEMA 1A (3 puntos) La siguiente entidad y arquitectura corresponden a un circuito combinacional. LIBRARY ieee; USE ieee.std_logic_1164.all; ENTITY examen_marzo_14
Más detallesLABORATORIO DE CIRCUITOS DIGITALES (2005-II) SEGUNDA CLASE DE VHDL
LABORATORIO DE CIRCUITOS DIGITALES (25-II) SEGUNDA CLASE DE VHDL TIPOS y MODOS DE DATOS DESCRIPCIÓN CONCURRENTE Sentencias de asignación: with select, when - else DESCRIPCIÓN COMPORTAMENTAL Procesos asíncronos
Más detallesINGENIERÍA DE COMPUTADORES III. Solución al Ejercicio de Autocomprobación 10
INGENIERÍA DE COMPUTADORES III Solución al Ejercicio de Autocomprobación 10 PREGUNTA 1 (1.5 puntos) Dibuje el diagrama conceptual correspondiente a: 1.a) (0.75 puntos) Las sentencias if anidadas siguientes:
Más detallesPráctica 2 - Lógica Digital
Práctica 2 - Lógica Digital Organización del Computador 1 Primer cuatrimestre de 2012 Todas las compuertas mencionadas en esta práctica son de 1 ó 2 entradas, a menos que se indique lo contrario. Usaremos
Más detallesINGENIERÍA DE COMPUTADORES 3. Solución al examen de Junio 2014, Primera Semana
Solución al examen de Junio 2014, Primera Semana PREGUNTA 1 (2 puntos) Tomando como base el siguiente código VHDL, dibuje el cronograma de evolución de las señales x1, x2, x3, x4, x5 entre los instantes
Más detallesComparador de 1 bit Tabla de verdad y circuito lógico A B A > B A = B A < B
Comparadores Índice Descripción Comparador de 1 bit Tabla de verdad Circuito lógico Comparador de N bits Circuito comercial 74LS85 Tabla de verdad Circuito lógico Comparador 8 bits serie Comparador 16
Más detallesINGENIERÍA DE COMPUTADORES III. Solución al examen de Septiembre 2012
Solución al examen de Septiembre 212 PREGUNTA 1 (2 puntos) 1.a) (1 punto) Dibuje el diagrama conceptual correspondiente al fragmento de código Fragmento 1. 1.b) (1 punto) Dibuje el diagrama conceptual
Más detallesDiseño de Sistemas Electrónicos Digitales Avanzados
Práctica 1 Práctica Diseño de Sistemas Electrónicos Digitales Avanzados Sistemas combinacionales con VHDL Universidad de Alicante Ángel Grediaga Índice 1 Introducción... 3 Circuitos combinacionales...
Más detallesINGENIERÍA DE COMPUTADORES 3. Solución al examen de Junio 2015, Segunda Semana
Solución al examen de Junio 2015, Segunda Semana PREGUNTA 1 (2 puntos) Tomando como base el siguiente código VHDL, dibuje el cronograma de evolución de las señales x1, x2, x3, x4 entre los instantes 0
Más detallesTema 5. Circuitos MSI
Tema 5. Circuitos MSI Multiplexores. Decodificadores/demultiplexores. Implementaciones de funciones lógicas con multiplexores y decodificadores. Codificadores con prioridad. Sumadores. Comparadores. Diseño
Más detallesDESCRIPCIÓN DE CIRCUITOS DIGITALES
DESCRIPCIÓN DE CIRCUITOS DIGITALES Circuitos combinacionales Circuitos secuenciales Organización del diseño. Diseño genérico Operaciones iterativas Autores: Luis Entrena, Celia López, Mario García, Enrique
Más detallesDiseño Modular de Circuitos
Diseño Modular de ircuitos El número de filas de la tabla de verdad de un circuito combinacional aumenta eponencialmente con el número de entradas (mientras que el número de columnas aumenta linealmente
Más detallesTema 7. Análisis de Circuitos Secuenciales
Tema 7. Análisis de Circuitos Secuenciales Máquinas de estado finito (FSM). Análisis de circuitos secuenciales síncronos. Introducción al diseño secuenciales síncronos. de circuitos Contadores. Registros
Más detallesTema 4 - Bloques combinacionales
- Bloques combinacionales Eduardo Rodríguez Martínez Departamento de Electrónica División de Ciencias Básicas e Ingeniería Universidad Autónoma Metropolitana Unidad Azcapotzalco Email: erm@correo.azc.uam.mx
Más detallesOrganización del Computador I Verano. Aritmética (4 de 5) Basado en el capítulo 4 del libro de Patterson y Hennessy Multiplicaciones y Divisiones
Organización del Computador I Verano Aritmética (4 de 5) Basado en el capítulo 4 del libro de Patterson y Hennessy Multiplicaciones y Divisiones Verano 2014 Profesora Borensztejn MULTIPLICACIONES 1011
Más detallesEstructura de Computadores. 1. Ejercicios Resueltos 1.1. Tema 6. La unidad aritmética y lógica
Estructura de Computadores Tema 6. La unidad aritmética y lógica Operaciones típicas de la unidad aritmético-lógica. Algoritmos de multiplicación de Robertson y de Booth. Algoritmos de división con y sin
Más detallesINTRODUCCIÓN AL LENGUAJE VHDL PARA CIRCUITOS
INTRODUCCIÓN AL LENGUAJE VHDL PARA CIRCUITOS COMBINACIONALES UNIDAD VI Diseño Digital HLD Opción de diseño para sistemas electrónicos elaborados. Integrar más dispositivos en un circuito integrado. VHDL
Más detallesPRÁCTICA: LENGUAJE VHDL
PRÁCTICA: LENGUAJE Introducción Los lenguajes permiten manejar mejor grandes tamaños Los lenguajes son más flexibles que las tablas Los lenguajes son légibles por las máquinas más fácilmente que los gráficos
Más detallesTema 3. Operaciones aritméticas y lógicas
Tema 3. Operaciones aritméticas y lógicas Estructura de Computadores I. T. Informática de Gestión / Sistemas Curso 2008-2009 Transparencia: 2 / 28 Índice Operaciones lógicas: OR, AND, XOR y NOT Operaciones
Más detallesArquitectura de Computadoras
Arquitectura de Computadoras Dr. Andrés David García García Escuela de Diseño, Ingeniería y Arquitectura Departamento de Mecatrónica 1 Microprocesadores y Periféricos Objetivos: Analizar la arquitectura
Más detalles4. Aritmética y operadores
Fundamentos de Computadores Ingeniería de Telecomunicación Departamento de Automática Escuela Politécnica Superior Curso académico 2009 2010 Contenidos 1 Aritmética y lógica 2 3 4 Introducción Aritmética
Más detallesÍndice. VHDL Lección 2.9 Máquinas de Estados Finitos (FSM) 1
Índice Introducción Niveles de abstracción del modelado con HDL Estilos descriptivos del modelado con HDL Ventajas y limitaciones de los HDLs El lenguaje VHDL Objetos, tipos de datos y operadores Unidades
Más detallesUnidad Aritmético Lógica A.G.O. All Rights Reserved
Unidad Aritmético Lógica 28 A.G.O. All Rights Reserved Introducción Operador aritmético y lógico (uno o varios). El Acumulador. Uno o varios registros temporales. Un banco de registros. Indicadores de
Más detallesTranscripciones de las presentaciones de clases de teoría 2010
Transcripciones de las presentaciones de clases de teoría 2010 IMPORTANTE: Estas notas de clases sirven como complemento de los apuntes ya editados por esta cátedra y no deben ser considerados como el
Más detallesElectrónica Digital Departamento de Electrónica VHDL. Bioingeniería Facultad de Ingeniería - UNER
Electrónica Digital Departamento de Electrónica VHDL Bioingeniería Facultad de Ingeniería - UNER VHDL VHSIC Hardware Design Language VHSIC Very High Speed Integrated Circuits Verilog Handel C Celóxica
Más detallesIntroducción al VHDL
Introducción al VHDL Curso de Diseño de Circuitos y Sistemas Electrónicos - Grupo 43 Giancarlo Sportelli Biomedical Image Technologies - Departamento de Ingeniería Electrónica E.T.S.I. de Telecomunicación
Más detallesCIRCUITOS ELECTRÓNICOS DIGITALES ESCUELA POLITÉCNICA SUPERIOR UNIVERSIDAD AUTÓNOMA DE MADRID
CIRCUITOS ELECTRÓNICOS DIGITALES ESCUELA POLITÉCNICA SUPERIOR UNIVERSIDAD AUTÓNOMA DE MADRID Guía de problemas: Aritmética de Computadores Parte 1: Operaciones básicas con lápiz y papel Problema 1: a)
Más detallesArquitectura de Computadoras para Ingeniería
Arquitectura de Computadoras para Ingeniería (Cód. 7526) Cuatrimestre 26 Dra. DCIC - UNS Multiplicadores AC Ing 26 2 Multiplicadores Enteros No signados Signados AC Ing 26 3 Multiplicadores Multiplicación
Más detallesTema 3 - Modelado con HDL a nivel RTL
- Modelado con HDL a nivel RTL Eduardo Rodríguez Martínez Departamento de Electrónica División de Ciencias Básicas e Ingeniería Universidad Autónoma Metropolitana Unidad Azcapotzalco Email: erm@correo.azc.uam.mx
Más detallesINGENIERÍA DE COMPUTADORES III. Solución al examen de Septiembre 2013
Solución al examen de Septiembre 2013 PREGUNTA 1 (2 puntos) Tomando como base el siguiente código VHDL, dibuje el cronograma de evolución de las señales clk, x, a, b, c e y entre los instantes 0 y 1000
Más detalles1.- Determina la funcionalidad del siguiente código VHDL. LIBRARY ieee; USE ieee.std_logic_1164.all;
EJERCICIOS DE SISTEMAS ELECTRÓNICOS DIGITALES: HOJA 1 2 o CURSO DE INGENIERÍA TÉCNICA INDUSTRIAL. ESPECIALIDAD EN ELECTRÓNICA INDUSTRIAL LENGUAJES DE ALTO NIVEL 1.- Determina la funcionalidad del siguiente
Más detallesTEMA IV: SÍNTESIS HARDWARE
TEMA IV: SÍNTES HARDWARE Especificaciones Formato intermedio Partición HW/SW LA SÍNTES HARDWARE ES LA TAREA DE PASAR DE UN DOMINIO DE ABSTRACCIÓN A OTRO COMPORTAMIENTO -> ESTRUCTURA Código Implementación
Más detallesPRUEBA DE ENTRADA E P3 P2
PONTIFICIA UNIVRSIDAD CATÓLICA DL PRÚ STUDIOS GNRALS CINCIAS DANIL LLAMOCCA PRUBA D NTRADA NOMBR : FCHA: / /5 CÓDIGO : LAB. Nº: HORARIO: H-44. Complete el diagrama de tiempos del siguiente circuito (determine
Más detallesA (A3, A2, A1, A0) B (B3, B2, B1, B0) A (A0, A1, A2, A3) B (B0, B1, B2, B3) 0 to 3. 3 downto 0
Fundamentos de lógica digital. VHDL Declaración de entidades utilizando vectores El acomodo de los bits vector que componen un bus puede ser ordenado de forma ascendente o descendente, por ejemplo para
Más detallesUnidad 7. Unidades aritméticas y lógicas
Unidad 7. Unidades aritméticas y lógicas Circuitos Electrónicos Digitales E.T.S.I. Informática Universidad de Sevilla Jorge Juan 2-28 Esta obra esta sujeta a la Licencia Reconocimiento-CompartirIgual
Más detallesSubsistemas aritméticos y lógicos. Tema 10
Subsistemas aritméticos y lógicos Tema 10 Qué sabrás al final del capítulo? Diseño de Sumadores Binarios Semisumadores Sumador completo Sumador con acarreo serie Sumador / Restador Sumador BCD Diseño de
Más detallesDescripción en VHDL de arquitecturas para implementar el algoritmo CORDIC
Anexo D Los bancos de prueba Para suministrar los patrones de prueba a cada descripción del algoritmo CORDIC, se describieron bancos de prueba. A modo de ejemplo se transcribe un banco de prueba para la
Más detallesVHDL. VHDL: VHSIC Hardware Description Language VHSIC: Very High Speed Integrated Circuits
VHDL VHDL: VHSIC Hardware Description Language VHSIC: Very High Speed Integrated Circuits VHDL es un lenguaje de descripción de hardware Es útil para la síntesis y verificación de circuitos digitales Historia
Más detallesINGENIERÍA DE COMPUTADORES 3. Solución al examen de Junio 2014, Segunda Semana
Solución al examen de Junio 2014, Segunda Semana PREGUNTA 1 (2 puntos) Tomando como base el siguiente código VHDL, dibuje el cronograma de evolución de las señales x1, x2, x3, x4, x5 entre los instantes
Más detallesELECTRÓNICA DIGITAL 24-I Determinar el valor decimal de los números expresados en Complemento a 2. (0.25 puntos).
ELECTRÓNICA DIGITAL 24-I-2014 PREGUNTAS TEÓRICO PRÁCTICAS: 1. Determinar el valor decimal de los números expresados en Complemento a 2. (0.25 puntos). 11111100-4 10101010-86 01010110 86 2. Determinar el
Más detallesPr. Dr. Xavier Bonnaire
Pr. Dr. Xavier Bonnaire Slide 1 Temario Introducción Registros Multiplexores Codificadores y Decodificadores Archivos de Registros Unidad Aritmética Memorias Slide 2 Introducción Componentes Digital Estructurados
Más detallesTema IV. Unidad aritmético-lógica
Tema IV Unidad aritmético-lógica 4.1 Sumadores binarios 4.1.1 Semisumador binario (SSB) 4.1.2 Sumador binario completo (SBC) 4.1.3 Sumador binario serie 4.1.4 Sumador binario paralelo con propagación del
Más detalles