; Valores de constantes:

Tamaño: px
Comenzar la demostración a partir de la página:

Download "; Valores de constantes:"

Transcripción

1 LIST P=PIC16F84A #INCLUDE <P16F84A.INC> ; Pic a usar ; Lista de etiquetas de microchip ; Configuración opciones de hardware para la programación CONFIG _CP_OFF & _PWRTE_ON & _WDT_OFF & _XT_OSC ; Valores de constantes: FRAC_INI D'12' ; Constante para inicio cuenta de fracciones de ; segundo SEGS_INI D'196' ; Constante para inicio cuenta de segundos MINS_INI D'196' ; Constante para inicio cuenta de minutos HORS_INI D'232' ; Constante para inicio cuenta de horas ADJMIN D'9' ; Número de "frac_sec" que se necesita sumar cada ; minuto para ajustar el tiempo ADJHOR D'34' ; Número de "frac_sec" que se necesita restar cada ; hora para ajustar el tiempo ADJDIA D'6' ; Número de "frac_sec" que se necesita sumar cada ; 24 horas para ajustar el tiempo ;Ajustes: ; Un "frac_sec" es aproximadamente 1 / 244 s ; 1 MHz / 16 = Hz ; Hz / 256 = 244, Hz ; T = 0, s ; 0, s * 244 = 0, s; dif 1 segundo = -0, s ; 1 "minuto" = 0, s * 60 = 59,96544 s ; 60 s - 59,96544 s = 0,03456 s ; 0,03456 s / 0, s = 8,4375 ; 1 "minutoadj" = 59,96544 s + (0, s * 9) = 59,96544 s + 0, s = 60, s ; 1 "hora" = 60, s * 60 = 3600,13824 s ; 3600 s ,13824 s = -0,13824 s ; -0,13824 s / 0, s = -33,75 s ; 1 "horaadj" = 3600,13824 s - (0, s * 34) = ; = 3600,13824 s - 0, s = 3599, s ; 24 "horas" = 3599, s * 24 = 86399, s ; s , s = 0, s ; 0, s / 0, s = 6 ; 24 "horasadj" = 86399, s + 0, s * 6 = 86399, s + 0, s = s ; Activación de RB1-3 para las entradas de los pulsadores PULSADOR B' ' ; RB1, RB2 y RB3 ; Asignación de banderas. Los pulsadores activos proporcionan un "1" CHG H'03' ; Indica que se ha activado un pulsador o que es ; necesario actualizar los valores de la hora que tienen ; que mostrarse en los displays PSEG H'04' ; Pulsador A, modo segundero. PMIN H'05' ; Pulsador B, avance rápido minutos. PHOR H'06' ; Pulsador C, avance rápido horas. P_ON H'07' ; Un pulsador ha sido activado DSPOFF B' ' ; Displays apagados DISPLAY DECENA MIN / DECENA SEG -> RA,2-1 \ / 18 - RA,1 <- DISPLAY UNIDAD HR DISPLAY UNIDAD MIN / UNIDAD SEG -> RA, RA,0 <- DISPLAY DECENA HR NC F84A 16 - XT MCLR/ XT GND Vcc PUNTO dp -> RB, RB,7 -> SEGMENTO g PUL A (SEG) / SEGMENTO a -> RB, RB,6 -> SEGMENTO f PUL B (MIN) / SEGMENTO b -> RB, RB,5 -> SEGMENTO e PUL C (HOR) / SEGMENTO c -> RB, RB,4 -> SEGMENTO d PORTA, control displays 7 segmentos de cátodo común PORTB, segmetos de los displays, led separadores, pulsadores como entrada El pulsador A (conectado a RB1) muestra el segundero en tanto permanezca presionado. El pulsador B (conectado a RB2) avanza rápidamente los minutos. El pulsador C (conectado a RB3) avanza rápidamente las horas. ; Mapa de activación de segmentos para los displays (PORTB) ; a ; ========= ; ; f b ; g ; ========= ; ; e c ; ; ========= # p ; d ; gfedcbap CERO H'7E' ; U H'0C' ; DOS H'B6' ; TRES H'9E' ; CUATRO H'CC' ; CINCO H'DA' ; SEIS H'FA' ; ETE H'0E' ; OCHO H'FE' ; NUEVE H'DE' ; SEGM_OFF equ H'00' ; Todos los segmentos apagados. Separador entre horas y minutos apagado (RB0). ; Posición de memoria de variables INICIO Configuración OPTION: OPTION_REG = Configuración PUERTOS: TRISA = TRISB = PORTA = DSPOFF ( ) PORTB = Inicialización de variables: TMR0 = 1 display = (decena de hora) digito1 = CERO digito2 = CERO digito3 = CERO digito4 = SEGM_OFF banderas = Configurar puertos como salidas, blanquear display RB Pull Up desconectadas TMR0 en modo temporizador (se utilizan los pulsos de reloj internos, Fosc/4) Preescaler 1:16 Bits PORTA como salidas Bits PORTB como salidas Puerto A apaga los displays Con Puerto B todos los segmentos apagados. Separador entre horas y minutos encendido (RB0). Pone 01h en TMR0 Inicia display seleccionando decena de hora Los valores para digito1, digito2, digito3 y digito4 permitirán que desde el primer momento aparezcan las 0:00 en el display. ; Las variables de tiempo comienzan con un número que permite contar y ajustar el tiempo ; Por ejemplo la variable "segundos" se inicia con 196 decimal, para que después de 60 ; incrementos de 1 segundo se produzca un 0 ( = 256 -> 0) frac_sec H'0C' ; Fracciones de segundo (1/244) segundos H'0D' ; Segundos minutos H'0E' ; Minutos horas H'0F' ; Horas conta1 H'10' ; Variable 1 para bucle contador ; display H'11' ; Indicador de display que debe actualizarse digito1 H'12' ; Display unidad de minuto / unidad de segundo digito2 H'13' ; Display decena de minuto / decena de segundo digito3 H'14' ; Display unidad de hora digito4 H'15' ; Display decena de hora banderas H'16' ; Banderas; 3-CHG, 4-PSEG, 5-PMIN, 6-PHOR, 7-P_ON Variables de tiempo: frac_sec = FRAC_INI (12d) segundos = SEGS_INI (196d) minutos = MINS_INI (196d) horas = HORS_INI (232d) PRINCIPAL Pag. 2

2 PRINCIPAL TMR0 cuenta libremente para no perder ciclos de reloj escribiendo valores TMR0_LLE Incremento de TMR0 TMR0 se va incrementando líbremente con la señal de reloj a MHz / 16 = Hz TMR0=0 Se comprueba el bit Z de STATUS TMR0 se ha desbordado y se han contado 256 * 16 = 4096 ciclos de reloj, (4,096 ms) La frecuencia es: Hz / 256 = 244, Hz frac_sec = frac_sec + 1 Se añade 1 a frac_sec frac_sec comienza por 12, hasta desbordarse cuenta 244 Se activa separador horas-minutos (RB0) Restaura la variable frac_sec para la próxima vuelta frac_sec = 0 frac_sec = 0, se ha contado 1 segundo (0, s) RB0 = 1 frac_sec = FRAC_INI (12d) Comprueba variables pulsadores COMPROBAR_PUL El programa pasa por aquí cada 4,096 ms, esto es unas 244 veces por segundo No hay pulsadores activados P_ON = 1 Incrementar segundos, minutos y horas Ajustes cada minuto, hora y 1/2 dia CHG se pone a 1 Se ha activado un pulsador pero no es PSEG, debe ser PMIN o PHOR INC_HORA PSEG = 0 Pag. 7 Si está pulsado PSEG, (Pul A) se mostrarán los segundos en el display COMPROBAR_CHG Se comprueba el estado de CHG por si se ha activado algún pulsador o es necesario actualizar los valores de la hora que tienen que mostrarse en los displays Se actualiza hora, displays y pulsadores cada 4,096 ms (244 veces por segundo) Puesta en hora PMIN (Pul B) avanza los minutos PHOR (Pul C) avanza las horas Pag. 6 PONER_RELOJ Si no se han activado pulsadores ni ha cambiado la hora se salta a DISPLAY_PUL, que principalmente refresca uno de los displays cada vez que se accede a ella y escanea pulsadores. PSEG = 1 COMPROBAR_SEG Se comprueba si se activo el pulsador de segundos (Pul A) para mostrar los segundos en el display digito2 = 0 digito3 = 0 digito4 = 0 digito1 = second SEGS_INI (196d) Se mostrarán los segundos en el display de minutos Se guarda temporalmente el número de segundos en digito1 Resto de variables digit a 0 Se guardan la hora y los minutos para su tratamiento digito3 se utiliza temporalmente para almacenar la hora, (Si la hora es 255, digito3 sería = 23 digito1 se utiliza temporalmente para almacenar los minutos OBTENER_H_M digito3 = horas HORS_INI (232d) digit 1 = minutos MINS_INI (196d) Pag. 4 DIV_DIGITOS Divide los segundos o los minutos y las horas en digitos independientes, ejemplo, [14] lo pasa a [1]-[4] Pag. 5 CONVER_COD_7S Convierte cada digito (digito1, digito2, digito3 y digito4) en valores para los segmentos del display Pag. 3 DISPLAY_PUL Se borran los bits de flag para actualizar su estado Escanea pulsadores, si alguno está activado se pone a 1 la bandera que le corresponda así como P_ON y CHG Muestra los digitos correspondientes a los segundos o a los minutos y horas en el display que corresponda. Cada display se actualiza cada 244,14 Hz / 4 = 61,04 Hz.

3 DISPLAY_PUL Se borran los bits de flag para actualizar su estado Escanea pulsadores, si alguno está pulsado se pone a 1 el pulsador que le correspoda así como "P_ON" y "CHG" Muestra los digitos correspondientes a los segundos o a los minutos y horas en el display que corresponda. banderas = PORTA = DSPOFF ( ) w = SEGM_OFF XOR PORTB w = w AND B' ' TRISB = PULSADOR ( ) Se borran los bits de flag para actualizar su estado Apagar los segmentos respetando separador horas-minutos (RB0) Se apagan los displays Se configuran los bits 1, 2 y 3 de PORTB como entrada Se almacena el estado de los pulsadores en var RB1 = 1 COMPROBAR_PSEG COMPROBAR_PMIN PSEG= 1 P_ON = 1 w = SEGM_OFF XOR PORTB w = w AND B' ' Este código copia los bits del literal SEGM_OFF que se quieran en PORTB, Se copiaran aquellos bits de SEGM_OFF cuya posición coincida con un 1 en la máscara que se utiliza con la función AND y se respetaran los valores de los bits PORTB que coincidan con un 0 en la máscara. En este caso SEGM_OFF = 00H y en la operación AND se utiliza B' ' con lo que en PORTB se respetará el valor de RB0 y se pondrán a cero el resto de bits. RB2 = 1 PMIN= 1 P_ON = 1 En nuestro caso se podría simplificarse el proceso, eliminando la primera XOR pero entonces no se podría trabajar con otros posibles valores de SEGM_OFF Ejemplos: Si PORTB es RB3 = 1 COMPROBAR_PHOR PHOR= 1 P_ON = 1 w = SEGM_OFF XOR PORTB: w = w AND B' ': TRISB = ACTIVAR_SEGM Puerto B como salida Display es XXXX XXX0 Display es XXXX XX0X Display es XXXX X0XX Se determina que display debe actualizarse, es decir, que dato debe presentarse en el puerto B y se establece el siguiente display w = digito4 w = digito3 w = digito2 Si PORTB es w = SEGM_OFF XOR PORTB: w = w AND B' ': Display es XXXX 0XXX w = digito1 w = w XOR PORTB w = w AND B' ' Bit 7 de frac_sec = 0 Se entregar el valor de w en el puerto B respetando el valor de RB0 RB0 = 0 Se apagan los puntos de separación. Se activó en INICIO y se activa cada vez que frac_sec se hace 0. Para rotar el display a la próxima posición se utiliza el siguiente código: rlf display,f ; Rota display 1 bit a la próxima posición bsf display,0 ; Asegura un 1 en la posición más baja de display (luego se hará 0 si es necesario) btfss display,4 ; Comprueba si el último display fué actualizado bcf display,0 ; Si lo fué, se vuelve a habilitar el primer display PORTA = display Rota display a siguiente posición Se habilita el display correspondiente Cada display se enciende con una cadencia de 244 Hz / 4 = 61 Hz En la variable display se va desplazando un cero a la izquierda. Sólo se tendrán en cuenta los 4 bits menos significativos La variable display va cambiando: Sólo valen los 4 bits menos significativos PRINCIPAL Pag. 2

4 DIV_DIGITOS Divide los segundos o los minutos y las horas en digitos independientes, ejemplo, [14] lo pasa a [1]-[4] digito4 = 0 digito2 = 0 conta1 = 2 FSR = digito1 Se ponen a cero las posiciones de las decenas para el caso de que no se incrementen Bucle para convertir cada número (segundos o minutos y horas) Dirección de digito1 en FSR para usar INDF La primera vez, FSR = digito1 (minutos o segundos) y la segunda vez FSR = digito3 (horas) Se vuelve a comprobar si es necesario sumar uno a la decena cada vez que ésta se ha incrementado Este LOOP se utiliza primero para los minutos o los segundos y después para las horas LOOP INC_DECENAS INDF = INDF - 10 Averiguar cuantas decenas hay en el número. El número menos diez en cada bucle. Incf FSR,F Incf INDF,F Decf FSR,F El puntero apunta a la primera posición de las decenas Se añade 1 a las decenas Se restaura el valor de INDF para la próxima resta hasta que se termine CARRY = 1 Se comprueba "CARRY", que se pone a 1 si en la resta no se ha producido llevada Si C = 1 se añadirá 1 a la posición de las decenas Este LOOP se utiliza para las horas después de trabajar con los minutos o los segundos INDF = 10 + INDF C = 0, no se incrementan las decenas y se suma 10 para restaurar las unidades LOOP2 FSR = digito3 PROX_NUM conta1 = conta1-1 Próximo número: Primero ha sido segundos o minutos y luego horas conta1 = 0 CONVER_COD_7S Pag. 5

5 CONVER_COD_7S Convierte cada dígito a código 7 segmentos para los displays FSR = digito1 conta1 = 4 Coloca la dirección del primer digito (digito1) en FSR Prepara la variable conta1 para el bucle de los 4 displays PROX_DIGITO w = INDF Obtener el valor de la variable "digito" actual Pag. 8 CODIGO_7S LLamar a la rutina de conversión a código 7 segmentos INDF = w FSR = FSR + 1 conta1 = conta1-1 Colocar en la variable "digito" actual el código 7 segmentos devuelto Incremente INDF para el próximo "digito" Se resta 1 a conta1 conta1 = 0 Permitir que conta1 de sólo 4 vueltas BORRAR_CERO digito4 = 0? Si hay un cero en el display de las decenas de hora no se muestra (borrado de los ceros a la izquierda) digito4 = SEGM_OFF Si está pulsado PSEG no se muestra nada en el display de la posición de la unidad de hora. Contando con BORRAR_CERO, esto significa que sólo se mostrarán los segundos. BORRAR_CERO_SEG PSEG = 1 digito3 = SEGM_OFF DISPLAY_PUL Pag. 3

6 PONER_RELOJ Puesta en hora de horas y minutos segundos = SEGS_INI (196d) Inicia los segundos cuando se pone el reloj en hora PONER_MINUTOS Comprobar si se ha pulsado PMIN (Pulsador minutos) PMIN = 1 Avance rápido del tiempo cuando se ajustan minutos frac_sec = 175 Incrementar los minutos frac_sec = 175d minutos = minutos + 1 minutos = 0 Iniciar minutos si al incrementar se han desbordado minutos = MINS_INI PONER_HORAS Comprobar si se ha pulsado PHOR (Pulsador horas) PHOR = 1 Avance rápido del tiempo cuando se ajustan horas frac_sec = 127d Incrementar las horas frac_sec = 127d horas = horas + 1 horas = 0 horas = HORS_INI (232d) OBTENER_H_M Pag. 2

7 INC_HORA Incrementar segundos, minutos y horas Ajustes cada minuto, hora y 24 horas Se especifica que se ha producido un cambio segundos = segundos + 1 Como ha pasado un segundo se incrementa segundos segundos = 0 segundos = SEGS_INI (196d) frac_sec = frac_sec ADJMIN (9d) minutos = minutos + 1 Se ha desbordado "segundos" y se reestablece el valor inicial de segundos para la próxima vuelta Se resta 9 a frac_sec cada minuto para los ajustes de tiempo El minuto será 9 frac_sec más largo. Se añade 1 minuto minutos = 0 minutos = MINS_INI (196d) frac_sec = frac_sec + ADJHOR (34d) horas = horas + 1 Se reestablece el valor inicial de minutos para la próxima vuelta Se suma 34 frac_sec a cada hora para los ajustes de tiempo La hora será 34 frac_sec más corta Se añade 1 hora horas = 0 horas = HORS_INI (232d) frac_sec = frac_sec ADJDIA (6d) Se reestablece el valor inicial de horas para la próxima vuelta Se resta 6 a frac_sec cada 24 horas para los ajustes de tiempo Cada 24 horas se añadirán 6 "frac_sec" COMPROBAR_CHG Pag. 2

8 SUBRUTINAS CODIGO_7S Devuelve el código 7 segmentos addwf PCL,F CERO U DOS TRES CUATRO CINCO SEIS ETE OCHO NUEVE Devuelve en el acumulador el valor de la constante CERO a NUEVE según el valor que se hubiese colocado en w

; Valores de constantes:

; Valores de constantes: LIST P=PIC16F84A #INCLUDE ; Pic a usar ; Lista de etiquetas de microchip ; Configuración opciones de hardware para la programación CONFIG _CP_OFF & _PWRTE_ON & _WDT_OFF & _XT_OSC ; Valores

Más detalles

Carrera: Técnico Superior en Técnicas Digitales. Alumnos: Emiliano Teseo y José Luis Fernández

Carrera: Técnico Superior en Técnicas Digitales. Alumnos: Emiliano Teseo y José Luis Fernández Carrera: Técnico Superior en Técnicas Digitales Instituto: CENT 57 Trabajo final Año 2005 Alumnos: Emiliano Teseo y José Luis Fernández 1 ILUMINACION PARA VIDRIERAS Descripción general (Guía para el usuario)

Más detalles

PRÁCTICA 6: Gobierno del display 7 segmentos

PRÁCTICA 6: Gobierno del display 7 segmentos SISTEMAS ELECTRÓNICOS Y AUTOMÁTICOS PRACTICAS DE MICROCONTROLADORES PIC PRÁCTICA 6: Gobierno del display 7 segmentos Display 7 segmentos - 1 - Ingeniería de Sistemas y Automática 1. Objetivos: Gobierno

Más detalles

INFORMÁTICA INDUSTRIAL. 3º INGENIERÍA TÉCNICA INDUSTRIAL. ESPECIALIDAD ELECTRÓNICA BOLETÍN 1. CURSO 2003/04

INFORMÁTICA INDUSTRIAL. 3º INGENIERÍA TÉCNICA INDUSTRIAL. ESPECIALIDAD ELECTRÓNICA BOLETÍN 1. CURSO 2003/04 INFORMÁTICA INDUSTRIAL. 3º INGENIERÍA TÉCNICA INDUSTRIAL. ESPECIALIDAD ELECTRÓNICA BOLETÍN 1. CURSO 20/04 1. Se colocan tres interruptores en las líneas RA0, RA1 y RA2 de la puerta A de un PIC16F84. Y

Más detalles

MICROCONTROLADORES (III)

MICROCONTROLADORES (III) Prof. Manuel Rivas MICROCONTROLADORES (III) Pautas generales de programación Operaciones con datos Operaciones aritméticas Operaciones de comparación Subrutinas Selección múltiple Configuración de puertos

Más detalles

Apéndice B Programa para calcular inclinación con PIC 16F877A

Apéndice B Programa para calcular inclinación con PIC 16F877A Apéndice B Programa para calcular inclinación con PIC 16F877A list p=16f877a ; list directive to define processor #include ; processor specific variable definitions ;***** DEFINICIÓN DE

Más detalles

- FUNDAMENTOS TEÓRICOS - EJEMPLOS DE APLICACIÓN. 09/01/2009 Ing. José A. Picón - - (0416)

- FUNDAMENTOS TEÓRICOS - EJEMPLOS DE APLICACIÓN. 09/01/2009 Ing. José A. Picón -  - (0416) MICROPROCESADORES Y MICROCONTROLADORES - FUNDAMENTOS TEÓRICOS - EJEMPLOS DE APLICACIÓN 09/01/2009 Ing. José A. Picón - www.joseapicon.com.ve - (0416) 9519990 Informes de Laboratorio Entregar informe con

Más detalles

Apéndice A. Programas y Diagramas de Bloques para los Microprocesadores

Apéndice A. Programas y Diagramas de Bloques para los Microprocesadores Apéndice A Programas y Diagramas de Bloques para los Microprocesadores 120 Microprocesador PIC16F84A (Transmisor): Figura A.1 Diagrama de bloques del PIC16F84A en transmisor ;***********************************Transmisor.asm********************************

Más detalles

D:\ACCESO\ACCESO.ASM. list p=16f877 include "p16f877.inc" ROTA equ 0x20 TEMP equ 0x21 TECLA equ 0x22 DIGITOS equ 0x23 DIR equ 0x24

D:\ACCESO\ACCESO.ASM. list p=16f877 include p16f877.inc ROTA equ 0x20 TEMP equ 0x21 TECLA equ 0x22 DIGITOS equ 0x23 DIR equ 0x24 ;Programa para el control de acceso a un sistema X ;mediante la introducción de una clave a través de un teclado ;matricial. ;Es posible cambiar la clave de acceso y guardarla en la EEPROM ;La velocidad

Más detalles

Assembler para PICs. Permite la utilización de etiquetas, tanto para apuntar a direcciones como para definir valores constantes.

Assembler para PICs. Permite la utilización de etiquetas, tanto para apuntar a direcciones como para definir valores constantes. Características generales del lenguaje: Emplea los mnemotécnicos de las instrucciones del μc. En nuestro caso corresponderá al set de instrucciones de los PICs de la gama media (35 instrucciones). Permite

Más detalles

RECURSOS FUNDAMENTALES

RECURSOS FUNDAMENTALES RECURSOS FUNDAMENTALES Los recursos que se considerarán son : Temporizadores Puertos de E/S La Palabra de Configuración EEPROM de datos 1 TEMPORIZADORES Una labor habitual en los programas de control suele

Más detalles

Practica de PIC 16F84

Practica de PIC 16F84 Practica de PIC 16F84 Los PIC son circuitos que pueden controlar dispositivos y diferentes sistemas, son como los PLC (controles lógico programable), además debo decir que es un circuito que tiene todas

Más detalles

CODIGO EN ASSEMBLER TRANSMISOR. list p=16f876 ;selecion de pic

CODIGO EN ASSEMBLER TRANSMISOR. list p=16f876 ;selecion de pic TRANSMISOR CODIGO EN ASSEMBLER list p=16f876 ;selecion de pic radix hex ; codigo hex indf equ 0h ;direcionamiento indirecto tmro equ 1h ;contador de tiempo real pc equ 2h ;contador del programa status

Más detalles

SISTEMA MÍNIMO BASADO EN EL PIC16F84

SISTEMA MÍNIMO BASADO EN EL PIC16F84 PRÁCTICA 0 CIRCUITO BASE SISTEMA MÍNIMO BASADO EN EL PIC16F84 1 PRÁCTICA 1_1 COMPUERTA AND DE TRES ENTRADAS Hardware X0 X1 X2 Y 2 Comportamiento esperado INICIO Configurar Puerto A como entradas y Puerto

Más detalles

Programación y Aplicaciones de los Microcontroladores PIC16FXXX (Nivel Básico) ING. EULER DEZA FIGUEROA

Programación y Aplicaciones de los Microcontroladores PIC16FXXX (Nivel Básico) ING. EULER DEZA FIGUEROA Programación y Aplicaciones de los Microcontroladores PIC16FXXX (Nivel Básico) ING. EULER DEZA FIGUEROA ESTRUCTURA Y CONTENIDO: SESIONES DE CLASE CONTENIDOS PRACTICA PRIMERA (5 horas ) SEGUNDA (5 horas

Más detalles

RB0 RB1 RB2 RB3 RB4 RB5 RB6 RB7 PIC 16F87X. Figura # 1

RB0 RB1 RB2 RB3 RB4 RB5 RB6 RB7 PIC 16F87X. Figura # 1 UNIVERSIDAD NACIONAL EXPERIMENTAL POLITÉCNICA "ANTONIO JOSÉ DE SUCRE" DEPARTAMENTO DE ELECTRÓNICA Ejercicios para el parcial # 2 Prof. Ing. Antonio Pateti 1.- Estudie el hardware del Puerto B y explique:

Más detalles

DESCRIPCIÓN DE LAS INSTRUCCIONES

DESCRIPCIÓN DE LAS INSTRUCCIONES Todas las instrucciones del PIC cumplen las siguientes condiciones: Las instrucciones son simples y rápidas. Todas tienen un tiempo de ejecución de un ciclo de instrucción (cuatro ciclos de reloj) a excepción

Más detalles

PROFESOR: Práctica 10. Manejo de Tabla de datos con Microcontrolador PIC

PROFESOR: Práctica 10. Manejo de Tabla de datos con Microcontrolador PIC INSTITUTO POLITÉCNICO NACIONAL Centro de Estudios Científicos y Tecnológicos Nº 1 Gonzalo Vázquez Vela Academia de Sistemas Digitales Prácticas de Arquitectura de Microprocesadores y Microcontroladores

Más detalles

MICROPROCESADORES 2003/2004 DADO ELECTRÓNICO. Jaime Pérez Crespo Rubén Seijas Valverde

MICROPROCESADORES 2003/2004 DADO ELECTRÓNICO. Jaime Pérez Crespo Rubén Seijas Valverde MICROPROCESADORES 2003/2004 DADO ELECTRÓNICO Jaime Pérez Crespo Rubén Seijas Valverde Introducción: Con esta práctica se pretende familiarizarse con la programación en ensamblador de microcontroladores

Más detalles

Microcontrolador PIC 16F84

Microcontrolador PIC 16F84 PROGRAMACIÓN PIC (II) Microcontrolador PIC 16F84 mail : enric.serra 0 - INTRODUCCIÓN. Este documento es una continuación al documento de programación del PIC 16f84. Este documento se puede copiar y utilizar

Más detalles

PROCESADORES I Guia TP7 Introducción al Assembler del PIC

PROCESADORES I Guia TP7 Introducción al Assembler del PIC Pseudo-instrucciones PIC16F628 PROCESADORES I Guia TP7 Introducción al Assembler del PIC Se describen a continuación las más relevantes, que serán necesarias para la realización de los diferentes ejercicios.

Más detalles

ORGANIZACIÓN INTERNA + INTRODUCCIÓN AL ENSAMBLADOR

ORGANIZACIÓN INTERNA + INTRODUCCIÓN AL ENSAMBLADOR ORGANIZACIÓN INTERNA + INTRODUCCIÓN AL ENSAMBLADOR ORGANIZACIÓN de la MEMORIA Dentro del PIC16F877 se distinguen tres bloques de memoria. Memoria de programa En sus 8192 posiciones (8K) contiene el programa

Más detalles

VISUALINO Apuntes y Proyectos V

VISUALINO Apuntes y Proyectos V VISUALINO Apuntes y Proyectos V por BY - SA - NC Displays 7 segmentos Conexionado de un display de 7 segmentos. Activado. A cada número corresponde un conexionado distinto de 7 leds. Por ejemplo, al 1

Más detalles

O B J E T I V O I N T R O D U C C I O N M A R C O T E O R I C O

O B J E T I V O I N T R O D U C C I O N M A R C O T E O R I C O O B J E T I V O Realizar un contador decimal que se exhiba en un display de 7 segmentos, cada número deberá estar exhibido durante un segundo. I N T R O D U C C I O N En la mayoría de los sistemas electrónicos

Más detalles

1. Proponer un circuito que tenga el puerto b como salida conectando 8 leds, realizando un programa que me encienda los bits 0, 1, 3, 5, 7.

1. Proponer un circuito que tenga el puerto b como salida conectando 8 leds, realizando un programa que me encienda los bits 0, 1, 3, 5, 7. 1. Proponer un circuito que tenga el puerto b como salida conectando 8 leds, realizando un programa que me encienda los bits 0, 1, 3, 5, 7. a. Diagrama de flujo b. Circuito C1 4 MHZ C2 R2 10k 16 15 4 R1

Más detalles

Diagrama a bloques 2

Diagrama a bloques 2 Arquitectura 1 Diagrama a bloques 2 Diagrama a bloques 3 Diagrama a bloques 4 Diagrama a bloques 5 Unidad aritmética-lógica La unidad Aritmética Lógica se encarga de realizar las operaciones Suma, Resta,

Más detalles

SALTOS EN FUNCIONDE UN BIT Aquéllas que pueden producir el salto en función del estado de un bit. Son btfsc y

SALTOS EN FUNCIONDE UN BIT Aquéllas que pueden producir el salto en función del estado de un bit. Son btfsc y SISTEMAS ELECTRONICOS DIGITALES SALTO CONDICIONAL El repertorio del PIC16F84 también dispone de instrucciones de salto condicional, que son aquéllas que producen un salto en función de que se cumpla o

Más detalles

INTRODUCCION A LA CONFIGURACION DEL CONVERSOR ANALOGICO DEL PIC16F876A

INTRODUCCION A LA CONFIGURACION DEL CONVERSOR ANALOGICO DEL PIC16F876A Rev. 24/09/2018 : Se realizó algunas correcciones. INTRODUCCION A LA CONFIGURACION DEL CONVERSOR ANALOGICO DEL PIC16F876A La teoría que se presenta es válida para los micro controladores de la empresa

Más detalles

APÉNDICE B APÉNDICE B. PROGRAMA DEL PIC16F874 EN LENGUAJE ENSAMBLADOR

APÉNDICE B APÉNDICE B. PROGRAMA DEL PIC16F874 EN LENGUAJE ENSAMBLADOR APÉNDICE B. PROGRAMA DEL PIC16F874 EN LENGUAJE ENSAMBLADOR INIAX EQU 0X20 INIBX EQU 0X21 INICX EQU 0X22 INIDX EQU 0X23 INIEY EQU 0X24 INIFY EQU 0X25 INIGY EQU 0X26 INIHY EQU 0X27 SELECCION EQU 0X28 APUNTADOR

Más detalles

UNIDAD IV PROGRAMACION. Programación del microcontrolador

UNIDAD IV PROGRAMACION. Programación del microcontrolador UNIDAD IV PROGRAMACION Programación del microcontrolador Para grabar la memoria de programa el microcontrolador debe llevarse a un modo especial de trabajo suministrando 13.5V a la línea MCLR y el voltaje

Más detalles

PRACTICA 4. REALIZAR ENCENDIDO-APAGADO DE DIODOS LED

PRACTICA 4. REALIZAR ENCENDIDO-APAGADO DE DIODOS LED PRACTICA 4. REALIZAR ENCENDIDO-APAGADO DE DIODOS LED DESCRIPCIÓN. En esta práctica vamos a realizar el encendido y apagado de diodos led. Para ellos iremos activando y desactivando las salidas de la puerta

Más detalles

PRÁCTICA 2: Programas básicos. Tipos de direccionamiento.

PRÁCTICA 2: Programas básicos. Tipos de direccionamiento. SISTEMAS ELECTRÓNICOS Y AUTOMÁTICOS PRACTICAS DE MICROCONTROLADORES PIC PRÁCTICA 2: Programas básicos. Tipos de direccionamiento. Tipos de direccionamiento Ejemplos y ejercicios - 1 - 1. Objetivos - Introducir

Más detalles

Microcontroladores PIC

Microcontroladores PIC Microcontroladores PIC Procesador RISC. Arquitectura Harvard Pipeline Formato de instrucciones ortogonal Arquitectura basada en banco de registros Distintos periféricos: temporizadores, puertos paralelo/serie,

Más detalles

[CURSO BÁSICO DE PIC RETARDOS POR SOFTWARE]

[CURSO BÁSICO DE PIC RETARDOS POR SOFTWARE] 2009 GRUPO ESTUDIANTIL INVENTRONICA Joel Oswaldo Campos Pérez [CURSO BÁSICO DE PIC RETARDOS POR SOFTWARE] En este segmento se abordara el tema de retardos por software en ensamblador, se aprenderá la estructura

Más detalles

INSTRUCCIONES DE ENSAMBLADOR

INSTRUCCIONES DE ENSAMBLADOR MPLAB INSTRUCCIONES DE ENSAMBLADOR ORGANIZACIÓN de la MEMORIA Dentro del PIC16F877 se distinguen tres bloques de memoria. Memoria de programa En sus 8192 posiciones (8K) contiene el programa con las instrucciones

Más detalles

Las Instrucciones. A continuación vamos a presentar el conjunto de instrucciones básico de los Microcontroladores Picmicro.

Las Instrucciones. A continuación vamos a presentar el conjunto de instrucciones básico de los Microcontroladores Picmicro. Las Instrucciones A continuación vamos a presentar el conjunto de instrucciones básico de los Microcontroladores Picmicro. En general la instrucciones de los microcontroladores pueden clasificarse como:

Más detalles

Proyecto Final Robot. Docentes: Collovati Susana Lasarte Matias Correa Diego Integrante: Jesús Olguera

Proyecto Final Robot. Docentes: Collovati Susana Lasarte Matias Correa Diego Integrante: Jesús Olguera Proyecto Final Robot Docentes: Collovati Susana Lasarte Matias Correa Diego Integrante: Jesús Olguera 1 Introducción: En el siguiente informe se expone la construcción de un robot capaz de seguir líneas.

Más detalles

Bucles: o Bucles infinitos o Bucles finitos o Bucles anidados Medir tiempos con MPLAB Ejemplos y ejercicios

Bucles: o Bucles infinitos o Bucles finitos o Bucles anidados Medir tiempos con MPLAB Ejemplos y ejercicios SISTEMAS ELECTRÓNICOS Y AUTOMÁTICOS PRACTICAS DE MICROCONTROLADORES PIC PRÁCTICA 3: Bucles Bucles: o Bucles infinitos o Bucles finitos o Bucles anidados Medir tiempos con MPLAB Ejemplos y ejercicios -

Más detalles

TEMA 5 LA MEMORIA DE DATOS MEMORIA RAM D.P.E. DESARROLLO DE PROYECTOS 1

TEMA 5 LA MEMORIA DE DATOS MEMORIA RAM D.P.E. DESARROLLO DE PROYECTOS 1 TEMA 5 LA MEMORIA DE DATOS MEMORIA RAM D.P.E. DESARROLLO DE PROYECTOS 1 Estructura De La Memoria Ram (1) La memoria de datos RAM está dividida en dos partes diferenciadas: 1.- Zona SFR o zona de Registros

Más detalles

PROFESOR: Práctica 8. Manejo de Puertos de Entrada y salida del Microcontrolador PIC

PROFESOR: Práctica 8. Manejo de Puertos de Entrada y salida del Microcontrolador PIC INSTITUTO POLITÉCNICO NACIONAL Centro de Estudios Científicos y Tecnológicos Nº 1 Gonzalo Vázquez Vela Academia de Sistemas Digitales Prácticas de Arquitectura de Microprocesadores y Microcontroladores

Más detalles

Termostato electrónico con PIC y LCD

Termostato electrónico con PIC y LCD COMETARIO TECNICO Termostato electrónico con PIC y LCD Por el Departamento de Ingeniería de Electrónica Elemon S.A. 1N4148 El circuito centra su funcionamiento en el micro controlador PIC16F870 el cual

Más detalles

Capítulo VIII: PROGRAMACIÓN DEL PIC 16F876A

Capítulo VIII: PROGRAMACIÓN DEL PIC 16F876A Capítulo VIII: PROGRAMACIÓN DEL PIC 16F876A Proyecto Fin de Carrera 95 Antonio Andújar Caballero 8. PROGRAMACIÓN DEL PIC 16F876A. A la hora de realizar el programa de control para nuestro ecualizador,

Más detalles

ARQUITECTURA DEL PIC16F84A

ARQUITECTURA DEL PIC16F84A ARQUITECTURA DEL PIC16F84A Arquitectura interna del PIC16F84A CPU ALU Decodificador de Instrucciones - Buses Registro de trabajo W PC Contador de Programa Organización de la memoria Memoria de Programa

Más detalles

CODIGO EN ASSEMBLER ;************************************************************************

CODIGO EN ASSEMBLER ;************************************************************************ RECEPTOR CODIGO EN ASSEMBLER ;************************************************************************ list p=16f84a ;selecion de pic radix hex ; codigo hex pc equ 02h status equ 03h portb equ 06h PORTA

Más detalles

Registros SFR vistos hasta ahora: Microcontroladores PIC

Registros SFR vistos hasta ahora: Microcontroladores PIC Registros SFR vistos hasta ahora: Microcontroladores PIC Microcontroladores PIC: Timer Características del Timer TMR0: Cumple básicamente la función de contador de eventos (o divisor de frecuencia). El

Más detalles

PROFESOR: Práctica 7. Puertos de salida del Microcontrolador

PROFESOR: Práctica 7. Puertos de salida del Microcontrolador INSTITUTO POLITÉCNICO NACIONAL Centro de Estudios Científicos y Tecnológicos Nº 1 Gonzalo Vázquez Vela Academia de Sistemas Digitales Prácticas de Arquitectura de Microprocesadores y Microcontroladores

Más detalles

Programa del PIC 16F877A

Programa del PIC 16F877A APÉNDICE B Programa del PIC 16F877A ;* "CONTROL AUTOMÁTICO DE VELOCIDAD VEHICULAR". * ;* EL PRESENTE PROGRAMA TIENE COMO FINALIDAD CONTROLAR LA VELOCIDAD DEL * ;* VEHÍCULO, DEPENDIENDO DE LA SEÑAL RECIBIDA

Más detalles

MICROCONTROLADORES. 1. El PIC 16F84A es un microcontrolador de: a) 16 bits b) 8 bits c) 4 bits d) 32 bits e) 64 bits

MICROCONTROLADORES. 1. El PIC 16F84A es un microcontrolador de: a) 16 bits b) 8 bits c) 4 bits d) 32 bits e) 64 bits 1. El PIC 16F84A es un microcontrolador de: a) 16 bits b) 8 bits c) 4 bits d) 32 bits e) 64 bits MICROCONTROLADORES 2. La memoria de programa del PIC 16F84A es de tipo: a) ROM b) OTP c) RAM d) EPROM e)

Más detalles

MICROCONTROLADORES PIC BÁSICO (PIC 16F84A / 16F627)

MICROCONTROLADORES PIC BÁSICO (PIC 16F84A / 16F627) MICROCONTROLADORES PIC BÁSICO (PIC 16F84A / 16F627) TEMARIO Objetivo: El estudiante comprenderá la evolución de los microcontroladores y microprocesadores así como sus diferencias, desarrollara su habilidad

Más detalles

Una vez comprendido el funcionamiento teórico del dispositivo SRF04 el

Una vez comprendido el funcionamiento teórico del dispositivo SRF04 el CAPÍTULO 4 Obtención Electrónica De La Distancia 4.1. Análisis y planteamiento del problema. Una vez comprendido el funcionamiento teórico del dispositivo SRF04 el planteamiento principal ahora se divide

Más detalles

Introducción a los Microprocesadores Facultad de Ingeniería UDELAR

Introducción a los Microprocesadores Facultad de Ingeniería UDELAR Subrutinas hexa7seg Descripción: Subrutina que convierte un número de cuatro bits a la representación en un display de 7 segmentos del dígito hexadecimal correspondiente (0-9, A-F). Parámetros: recibe

Más detalles

Proyecto de Microprocesadores I del CENT57 AFINADOR DIGITAL MICROPROCESADO Alumnos: Damian O. Macarrein Gastón M. Murphy Año 2002

Proyecto de Microprocesadores I del CENT57 AFINADOR DIGITAL MICROPROCESADO Alumnos: Damian O. Macarrein Gastón M. Murphy Año 2002 Proyecto de Microprocesadores I del CENT57 AFINADOR DIGITAL MICROPROCESADO Alumnos: Damian O. Macarrein Gastón M. Murphy Año 2002 Introducción Este afinador digital esta diseñado para la medición de frecuencia

Más detalles

Manejo LCD Gráfico DSM

Manejo LCD Gráfico DSM Manejo LCD Gráfico DSM Christopher Orihuela Sosa 10/09/07 Índice Introducción...1 Hardware...2 LCD...3 patillaje...4 tabla de instrucciones...5 Timings...6 Organización de la pantalla...8 Circuito completo...9

Más detalles

PRÁCTICA 5: Medida del tiempo en un PIC

PRÁCTICA 5: Medida del tiempo en un PIC SISTEMAS ELECTRÓNICOS Y AUTOMÁTICOS PRACTICAS DE MICROCONTROLADORES PIC PRÁCTICA 5: Medida del tiempo en un PIC TMR0 TMR1 Display 7 segmentos - 1 - 1. Objetivos: - Gestión de los temporizadores en los

Más detalles

Proyectos con el PIC16F84

Proyectos con el PIC16F84 Capítulo 2 Proyectos con el PIC6F84 Conexión de LED y dipswitch Manejo de un display de siete segmentos Multiplexaje de teclados y displays Conexión de memorias seriales al PIC Manejo de un módulo LCD

Más detalles

El único lenguaje que entienden los microcontroladores es el código máquina formado por ceros y unos del sistema binario.

El único lenguaje que entienden los microcontroladores es el código máquina formado por ceros y unos del sistema binario. 1 LENGUAJE ENSAMBLADOR El único lenguaje que entienden los microcontroladores es el código máquina formado por ceros y unos del sistema binario. El lenguaje ensamblador expresa las instrucciones de una

Más detalles

Instrucciones para programar el PIC16F877

Instrucciones para programar el PIC16F877 Instrucciones para programar el PIC16F877 2 Estos microcontroladores responden a una serie de instrucciones o códigos que se deben grabar en su memoria de programa, en total son 35. A continuación se encuentra

Más detalles

Módulos CCP. Registro de captura Registro de comparación Registro de ciclo de servicio PWM

Módulos CCP. Registro de captura Registro de comparación Registro de ciclo de servicio PWM Módulos CCP Los PIC16F87X disponen de 2 módulos CCP (Capture/Compare/PWM): CCP1 y CCP2. Cada módulo CCP cuenta con un registro de 16 bits (CCPR1 y CCPR2) que puede operar como un Registro de captura Registro

Más detalles

Jesús Alberto Díaz Carmona Ingeniería en Informática. Curso 2005/06. Diseño de Sistemas Basados en Microprocesador

Jesús Alberto Díaz Carmona Ingeniería en Informática. Curso 2005/06. Diseño de Sistemas Basados en Microprocesador Jesús Alberto Díaz Carmona Ingeniería en Informática. Curso 2005/06. Diseño de Sistemas Basados en Microprocesador Descripción El proyecto se trata de un sistema de cámaras de vigilancia en circuito cerrado,

Más detalles

;<<<<<< VECTOR DE INTERRUPCION >>>>>>>

;<<<<<< VECTOR DE INTERRUPCION >>>>>>> Apéndice B Programa en Ensamblador para el PIC 16F877 de Microchip Corp. ;***********************************UDLAP************************** ; PROGRAMA : com232.asm ; Función : Establece la rutina de los

Más detalles

Características PIC16F84

Características PIC16F84 Departamento de Electrónica Fundación San Valero del Toda la información que grabamos (memoria de programa y de datos) se puede modificar con el microcontrolador conectado a la aplicación. (ICSP = In-Circuit

Más detalles

Laboratorio 1 Entorno de desarrollo y subrutinas

Laboratorio 1 Entorno de desarrollo y subrutinas Laboratorio 1 Entorno de desarrollo y subrutinas Objetivos Dar los primeros pasos con el uso de la placa. Desarrollar subrutinas que acceden a puertos de entrada y salida (E/S). Validar subrutinas básicas

Más detalles

Características generales

Características generales REPERTORIO DE INSTRUCCIONES En esta sección se pretende: Conocer las características generales de las instrucciones para el PIC16F84 Los distintos modos de direccionamiento, y Los diferentes grupos de

Más detalles

Programación en PIC Los primeros pasos

Programación en PIC Los primeros pasos Capítulo V Programación en PIC Los primeros pasos Encender y apagar un LED Secuenciador con cuatro LED's Leer los pulsadores y encender el LED correspondiente Programa avanzado utilizando el entrenador

Más detalles

MICROCONTROLADOR PIC DE MICROCHIP

MICROCONTROLADOR PIC DE MICROCHIP MICROCONTROLADOR PIC DE MICROCHIP PIC16F877-28-PIN 8-BIT CMOS FLASH MICROCONTROLLER PIC16F877: ESTRUCTURA INTERNA Bus de Datos Bus de Datos (programa) Registro de trabajo (acumulador) Conversor A/D Contador/Temporizador

Más detalles

Práctica 1. Introducción al laboratorio y al ensamblador del PIC. 1. Objetivos. 2. Introducción. 2.1 Ensamblar y simular

Práctica 1. Introducción al laboratorio y al ensamblador del PIC. 1. Objetivos. 2. Introducción. 2.1 Ensamblar y simular Práctica Introducción al laboratorio y al ensamblador del PIC Práctica Introducción al laboratorio y al ensamblador del PIC. Objetivos El objetivo de esta primera sesión es que el alumno aprenda el manejo

Más detalles

PROFESOR: Práctica 9. Control de Motores de CD con Microcontrolador PIC

PROFESOR: Práctica 9. Control de Motores de CD con Microcontrolador PIC INSTITUTO POLITÉCNICO NACIONAL Centro de Estudios Científicos y Tecnológicos Nº 1 Gonzalo Vázquez Vela Academia de Sistemas Digitales Prácticas de Arquitectura de Microprocesadores y Microcontroladores

Más detalles

REGISTRO DE TEMPERATURA USANDO EL MICROCONTROLADOR PIC16C71. José González Flórez *, Eusebio García García ** Abstract

REGISTRO DE TEMPERATURA USANDO EL MICROCONTROLADOR PIC16C71. José González Flórez *, Eusebio García García ** Abstract UNIVERSIDAD PEDAGOGICA NACIONAL REGISTRO DE TEMPERATURA USANDO EL MICROCONTROLADOR PIC16C71 José González Flórez *, Eusebio García García ** Abstract A digital measurement temperature employs the PIC 16C71

Más detalles

PROGRAMACIÓN DEL MICROCONTROLADOR PIC 16C64 COMO CONTROLADOR MULTIEJE PARA MOTORES PASO

PROGRAMACIÓN DEL MICROCONTROLADOR PIC 16C64 COMO CONTROLADOR MULTIEJE PARA MOTORES PASO PROGRAMACIÓN DEL MICROCONTROLADOR PIC 16C64 COMO CONTROLADOR MULTIEJE PARA MOTORES PASO. Gustavo Caamaño* ; Coordinador de Tecnología en Electrónica En este artículo se describe la programación de un PIC

Más detalles

PIC 16F87X. Juan González. Escuela Politécnica Superior Universidad Autónoma de Madrid. Flir Networked Systems. Flir Networked Systems

PIC 16F87X. Juan González. Escuela Politécnica Superior Universidad Autónoma de Madrid. Flir Networked Systems. Flir Networked Systems PIC 16F87X Juan González Andrés Prieto-Moreno Ricardo Gómez Escuela Politécnica Superior Universidad Autónoma de Madrid Flir Networked Systems Flir Networked Systems Curso de microcontroladores PIC. Semana

Más detalles

PRÁCTICA 4 LOS BITS DE CONFIGURACIÓN DEL PIC16F628

PRÁCTICA 4 LOS BITS DE CONFIGURACIÓN DEL PIC16F628 Los Bits de Configuración del PIC16F628 44 PRÁCTICA 4 LOS BITS DE CONFIGURACIÓN DEL PIC16F628 OBJETIVOS Identificar cada uno de los bits de configuración que rigen el funcionamiento del PIC16F628. Verificar

Más detalles

TUTORIAL PRIMERA PARTE: GENERACIÓN DE UN RETARDO CON TIMER.

TUTORIAL PRIMERA PARTE: GENERACIÓN DE UN RETARDO CON TIMER. 1 TUTORIAL OBJETIVOS Familiarizarse con el TMR0 como contador de pulsos internos y generador de retardos. Conocer el método del polling para verificar banderas de dispositivos. Crear y manipular variables

Más detalles

P1.0,... P1.7 7 EN3 EN2

P1.0,... P1.7 7 EN3 EN2 Ing. O. Richer Microprocesadores I Práctica #7 Manejo de un Display Trabajo en grupo. Conecte al microcontrolador 8051 un display formado por 5 dígitos a base de LED s de siete segmentos, como se muestra

Más detalles

Guía #2 Acceso a registros y uso de puertos.

Guía #2 Acceso a registros y uso de puertos. Curso de microcontroladores PIC. Guía # 2 1 Guía #2 Acceso a registros y uso de puertos. Distribución de la memoria. Todo microcontrolador cuenta con periféricos para comunicarse con el mundo exterior,

Más detalles

;*****************************************************************************************

;***************************************************************************************** PROYECTO DPP Memoria Generalidades: Este es el proyecto de un sistema de camaras cerrado, concretamente de ocho camaras, controladas, desde un panel de mandos desde el cual controlaremos que camara queremos

Más detalles

[CURSO BÁSICO DE PIC TABLAS]

[CURSO BÁSICO DE PIC TABLAS] 2009 GRUPO ESTUDIANTIL INVENTRONICA Joel Oswaldo Campos Pérez [CURSO BÁSICO DE PIC ] En esta sección explicaremos que son las tablas, para que se usan y como se hacen. Se describe un ejercicio completo

Más detalles

Microprocesadores I - Unidad 5 Hoja 1 de 11. Año Javier Rambaldo Profesor de la cátedra de Microprocesadores I

Microprocesadores I - Unidad 5 Hoja 1 de 11. Año Javier Rambaldo Profesor de la cátedra de Microprocesadores I Microprocesadores I - Unidad 5 Hoja 1 de 11 Año 2003 Profesor de la cátedra de Microprocesadores I Unidad 5: Interrupciones en el 16F84. Rutina de servicio. Registros. Distintas formas de activar una interrupción.

Más detalles

Sistemas con Microprocesadores I

Sistemas con Microprocesadores I Sistemas con Microprocesadores I 1 El ambiente de desarrollo MPLAB IDE El Entorno MPLAB IDE Creando código de usuario Creando un Proyecto Selección del Dispositivo y ventana de salida Selección de herramientas

Más detalles

CONCEPTOS BÁSICOS. + Intensidad

CONCEPTOS BÁSICOS. + Intensidad CONCEPTOS BÁSICOS Si en una frase tuviera que definir que es un microcontrolador, creo que lo más acertado sería definirlo como un controlador de entrada/ salida con capacidad de decisión. Para poder explicar

Más detalles

PIC MICRO ESTUDIO Reloj en tiempo real RTCU2 Clave: 719 www.electronicaestudio.com

PIC MICRO ESTUDIO Reloj en tiempo real RTCU2 Clave: 719 www.electronicaestudio.com PIC MICRO ESTUDIO Reloj en tiempo real RTCU2 Clave: 719 www.electronicaestudio.com Guía de Operación Reloj en tiempo real Modulo: RTCU2iempo real Clave: 719 El modulo 719 Reloj en tiempo real- utiliza

Más detalles

Tema: Utilización del módulo ADC en los microcontroladores PIC.

Tema: Utilización del módulo ADC en los microcontroladores PIC. 1 Facultad: Ingeniería Escuela: Electrónica Asignatura: Microcontroladores Lugar de ejecución: Laboratorio de microprocesadores, Edif. 3 Electrónica. Tema: Utilización del módulo ADC en los microcontroladores

Más detalles

Escuela Politécnica Superior de Elche. EXAMEN DE SISTEMAS ELECTRÓNICOS Y AUTOMÁTICOS 2º Parte: Microcontroladores + Instrumentación Nombre:

Escuela Politécnica Superior de Elche. EXAMEN DE SISTEMAS ELECTRÓNICOS Y AUTOMÁTICOS 2º Parte: Microcontroladores + Instrumentación Nombre: Escuela Politécnica Superior de Elche 4º Ingeniería Industrial EXAMEN DE SISTEMAS ELECTRÓNICOS Y AUTOMÁTICOS 2º Parte: Microcontroladores + Instrumentación Nombre: CUESTION 1 (3 puntos) Microcontroladores

Más detalles

Diseño de Controladores Digitales: Trabajo Practico Final

Diseño de Controladores Digitales: Trabajo Practico Final Diseño de Controladores Digitales: Trabajo Practico Final Pablo Rendtorff Dispenser programable de alimento balanceado para mascotas. Diagrama: El Dispense consta de: Un tanque Un dosificador (para el

Más detalles

Guía de Microcontroladores

Guía de Microcontroladores Guía de Introducción Este documento tiene como objetivo de para comenzar con el desarrollo de programas para microcontroladores. Por motivos prácticos se utilizan líneas microcontroladores PIC de 8 bits

Más detalles

btfss PIR1,TMR2IF ; Checa si TMR2 interrumpio goto SAL_ISR ; No, sale de la ISR bcf PIR1,TMR2IF ; Si, borra bandera de interrupcion

btfss PIR1,TMR2IF ; Checa si TMR2 interrumpio goto SAL_ISR ; No, sale de la ISR bcf PIR1,TMR2IF ; Si, borra bandera de interrupcion ;************************************************* ;Programa que muestra los números del 9 al 0 ;de manera descendente en una matriz de 5x7 ;leds mediante multiplexación. Los ánodos (filas) ;están conectados

Más detalles

LABORATORIO Nº 4 TEMPORIZADOR Y CONTADOR DEL PIC 16F84. a) Introducir al alumno en el estudio, programación y diseño de temporizadores y contadores-

LABORATORIO Nº 4 TEMPORIZADOR Y CONTADOR DEL PIC 16F84. a) Introducir al alumno en el estudio, programación y diseño de temporizadores y contadores- 1.- Objetivo LABORATORIO Nº 4 TEMPORIZADOR Y CONTADOR DEL PIC 16F84 a) Introducir al alumno en el estudio, programación y diseño de temporizadores y contadores- 2.- Fundamento teórico La figura que sigue

Más detalles

Figura 1 Diversos microcontroladores PIC.

Figura 1 Diversos microcontroladores PIC. CAPÍTULO I. RUTINAS BÁSICAS 1.1 CONFIGURACIÓN DE LOS PUERTOS COMO ENTRADA O SALIDA El primer ejercicio que se realiza con un microcontrolador es la de leer o escribir datos discretos (digitales) a través

Más detalles

Ejercicio. Solución. Ejercicio. Comparaciones. Solución 16/11/2011 UNIDAD 5 3 A PARTE PROGRAMANDO EN EL ENSAMBLADOR DEL Z80

Ejercicio. Solución. Ejercicio. Comparaciones. Solución 16/11/2011 UNIDAD 5 3 A PARTE PROGRAMANDO EN EL ENSAMBLADOR DEL Z80 1 UNIDAD 5 3 A PARE PROGRAMANDO EN EL ENSAMBLADOR DEL Z80 2 Los puertos de entrada F1H y F2H estan conectados a 7 switches cada uno. El bit mas significativo D 7 esta conectado a un una señal de emergencia

Más detalles

PIC 16F87X. Juan González. Escuela Politécnica Superior Universidad Autónoma de Madrid. Flir Networked Systems. Flir Networked Systems

PIC 16F87X. Juan González. Escuela Politécnica Superior Universidad Autónoma de Madrid. Flir Networked Systems. Flir Networked Systems PIC 16F87X Juan González Andrés Prieto-Moreno Ricardo Gómez Escuela Politécnica Superior Universidad Autónoma de Madrid Flir Networked Systems Flir Networked Systems Curso de microcontroladores PIC. Semana

Más detalles

Puertos de E/S. El PIC16F1787 CUENTA CON LOS SIGUIENTES PUERTOS. 4 líneas de Entrada o Salidas. Puerto B: 8 líneas de Entrada o Salidas

Puertos de E/S. El PIC16F1787 CUENTA CON LOS SIGUIENTES PUERTOS. 4 líneas de Entrada o Salidas. Puerto B: 8 líneas de Entrada o Salidas El PIC16F1787 CUENTA CON LOS SIGUIENTES PUERTOS Puerto A: 8 líneas de Entrada o Salidas Puerto B: 8 líneas de Entrada o Salidas Puerto C: 8 líneas de Entrada o Salidas Puerto D: 8 líneas de Entrada o Salidas

Más detalles

Fteórica- OSC2. Fmedida- OSC2

Fteórica- OSC2. Fmedida- OSC2 EjercicioPic_0_a.- Si tenemos un cristal de cuarzo de 4MHZ y condensadores de 22pF, monta el siguiente circuito en tu placa Aristón. Comprueba con un osciloscopio y dibuja la señal que te sale en OSC2

Más detalles

El control del móvil se realiza a través de un microcontrolador PIC16F74 (aunque este

El control del móvil se realiza a través de un microcontrolador PIC16F74 (aunque este CAPÍTULO 3 CONTROL DEL MÓVIL El control del móvil se realiza a través de un microcontrolador PIC16F74 (aunque este programa también funciona para los pic s 16F877, 16F877a, 16F874, 16LF877) los cuales

Más detalles

Control de pantallas de cristal líquido mediante PIC

Control de pantallas de cristal líquido mediante PIC INGENIERÍA Control de pantallas de cristal líquido mediante PIC Ejemplo práctico de interconexión y control de una pantalla de cristal líquido (LCD) por un microcontrolador PIC CELESTINO BENÍTEZ VÁZQUEZ

Más detalles

Microcontrolador PIC 16F84

Microcontrolador PIC 16F84 INTRODUCCIÓN A LA PROGRAMACIÓN Microcontrolador PIC 16F84 mail : enric.serra 0 - INTRODUCCIÓN. Este documento es una introducción a la programación del PIC 16f84. Este documento se puede copiar y utilizar

Más detalles

Diagrama de bloques del sistema

Diagrama de bloques del sistema Software de control: Diagrama de bloques del sistema Para controlar todos los parámetros de funcionamiento de la pila de combustible, se ha creado un software específico, este funciona como se muestra

Más detalles

V = 3,4567 V M:4,45V m:0,23v

V = 3,4567 V M:4,45V m:0,23v Práctica 10 Módulo de conversión A/D: voltímetro digital Fecha: 28 de Abril de 2005 Se pretende realizar un programa que se encargue de efectuar la medida continua de una tensión analógica comprendida

Más detalles

PLACA TOTALIZADORA Y TEMPORIZADORA

PLACA TOTALIZADORA Y TEMPORIZADORA PLACA TOTALIZADORA Y TEMPORIZADORA MODELO TEMPO 4D V:028 Introducción Esta placa temporizadora de cuatro dígitos es ideal para controlar el tiempo de uso de diversos equipos en forma precisa y confiable.

Más detalles

IV) USO BÁSICO DEL PIC

IV) USO BÁSICO DEL PIC IV) USO BÁSICO DEL PIC El programa fuente debe ser ordenado y tener muchos comentarios. lineamientos a seguir # Nombre y explicación del programa. # Datos del autor y fecha de creación. # Selección, configuración

Más detalles

GAMA BAJA (PIC16C5X) ARQUITECTURA BÁSICA

GAMA BAJA (PIC16C5X) ARQUITECTURA BÁSICA GAMA BAJA (PIC6CX) ARQUITECTURA BÁSICA Instrucciones -bit de palabra de instrucción ROM/EPROM desde a 04 palabras Hasta 4 páginas (xbits) de memoria de programa -bit de datos RAM desde a bytes Hasta 4

Más detalles

6. CONTROL DEL DS1802 A TRAVÉS DE PIC

6. CONTROL DEL DS1802 A TRAVÉS DE PIC 6. CONTROL DEL DS1802 A TRAVÉS DE PIC 6.1. Microcontrolador PIC 16F819. Los microcontroladores PIC de Microchip forman una familia extensísima de circuitos controladores de propósito general, teniendo

Más detalles