Utilización de las instrucciones concurrentes CSA, SSA, componentdeclaration y component-instantiation aprendidas en clase.

Documentos relacionados
Utilización de las instrucciones concurrentes CSA, SSA, componentdeclaration y component-instantiation aprendidas en clase.

Laboratorio 4. Objetivos

Proyecto de Diseño 2

PRÁCTICA 7. CIRCUITOS ARITMÉTICOS

Circuito de refresco de un Display

GIA DE LABORATORIO DISEÑO AVANZADO DE HARDWARE

Diseño y verificación en VHDL de microcontrolador implementado en FPGA

CURSO DISEÑO DE SISTEMAS DIGITALES MEDIANTE VHDL PARA SU IMPLEMENTACIÓN CON FPGAS. 40 horas (15 horas teoría + 25 horas práctica)

1. Creando componentes 2. conectando con tarjeta externa. 3. Decodificador de BCD a 7 segmentos

Manual de la Práctica 4: Control de iluminación mediante Arduino

Diseño y verificación en VHDL de microcontrolador implementado en FPGA

GUIA DE COMPONENTE PRACTICO

Lab 6. Cuádruple registro de 16 bits y 3 puertos E/S

SEMINARIO DE EXTENSIÓN DE ISLD

Dependiendo del dispositivo usado, se tienen hasta 5 puertos de entrada/salida disponibles: PORTA PORTB PORTC PORTD PORTE

INTRODUCCIÓN AL SOFTWARE ISE (Integrated Software Environment) DE XILINX

Decodificadores y Demultiplexores. Pedro Fernández Ignacio de la Rosa

UNIVERSIDAD NACIONAL FEDERICO VILLARREAL FACULTAD DE INGENIERÍA ELECTRÓNICA E INFORMÁTICA SÍLABO

PRÁCTICA 7. CIRCUITOS ARITMÉTICOS

Sistema electrónico digital (binario) que procesa datos siguiendo unas instrucciones almacenadas en su memoria.

Circuitos Lógicos: SDI Práctica 2. BCD a 7 segmentos

INSTITUTO POLITÉCNICO NACIONAL ESCUELA SUPERIOR DE INGENIERIA MECANICA Y ELECTRICA INGENIERIA EN COMUNICACIONES Y ELECTRÓNICA ACADEMIA DE COMPUTACIÓN

Sistemas Digitales I Taller No 2: Diseño de Circuitos combinacionales usando VHDL

Laboratorio de Dispositivos Integrados Especializados / Diseño de Circuitos y Sistemas Electrónicos

Formato para prácticas de laboratorio

Lab 2: Sumador/Restador en System Generator

Sistemas Digitales I

Curso de Automatización y Robótica Aplicada con Arduino

Simulación de circuitos descritos en VHDL

INTRODUCCIÓN A SIMULINK/SYSTEM GENERATOR (XILINX FPGA) C7 Technology 1

SISTEMAS ELECTRÓNICOS DIGITALES

UNIVERSIDAD CARLOS III DE MADRID

LABORATORIO DE TECNOLOGÍA DE COMPUTADORES PRÁCTICA 7

PIC MICRO ESTUDIO Temporizador con el 16F872 Guía de Uso

Dispositivos de Memoria

Ejemplo de diseño del Proyecto N 1

Dispositivos y Sistemas Programables Avanzados

PROBLEMA VHDL. 7 dig1. dig2. Entradas : Señales a[3..0] y b [3..0] en código GRAY Salida : Señales Dig1[6..0] y Dig2[6..0] para los visualizadores

DISEÑO LOGICO CON DISPOSITIVOS LOGICOS PROGRAMABLES (PLD S) ING. LUIS F. LAPHAM CARDENAS PROFESOR INVESTIGADOR DIVISION DE ELECTRONICA C.E.T.I.

Sistema de Gestión de Aplicaciones Implementadas en FPGAs

Frecuencia Máxima de un Sistema Digital Sincrónico (Básico)

Introducción al Diseño Digital con FPGAs.

Diseño de Sistemas Electrónicos Digitales Avanzados

UNIVERSIDAD DE GUANAJUATO F. I. M. E. E.

Práctica final. Emilia Cruz Fernández Martínez

Guía de Google Cloud Print

RB0 RB1 RB2 RB3 RB4 RB5 RB6 RB7 PIC 16F87X. Figura # 1

PRÁCTICA 5. CIRCUITOS CONTADORES SÍNCRONOS

INSTITUTO POLITÉCNICO NACIONAL ESCUELA SUPERIOR DE INGENIERÍA MECÁNICA Y ELÉCTRICA INGENIERÍA EN COMUNICACIONES Y ELECTRÓNICA

TRABAJO 1: CONSTRUCCIÓN Y SIMULACIÓN DE CIRCUITOS COMBINACIONALES SENCILLOS CON CIRCUITOS INTEGRADOS ESTANDAR

PRÁCTICA 18: SUMADOR SECUENCIAL CON QUARTUS II

Practica 7. Procesos y divisor de frec. FCHE

PLATAFORMA DE DISEÑO QUARTUS

Circuitos Combinacionales. Fundamentos de Computadores Escuela Politécnica Superior. U.A.M

Tema 5.1: Presentación de datos en pantalla

PRÁCTICA 4. Montaje y evaluación de sistemas secuenciales.

Nota: Para los diseños, anexar los respectivos códigos y simulaciones según el caso.

M1.607 Microelectrónica Práctica Estudios de Informàtica Multimèdia y Telecomunicación

UNIVERSIDAD DE ANTIOQUIA DISEÑO DE SISTEMAS DIGITALES COMPLEJOS LABORATORIO 1 INTRODUCCIÓN AL MICROBLAZE Y AL ENTORNO EDK

Al momento de generar un certificado de Existencia y Representación Legal, visualizará una pantalla como la que se muestra a continuación:

ELECTRÓNICA DIGITAL (P2000)

1. Módulo de desarrollo Spartan 3 STARTER KIT con un FPGA xc3s200 ft Software de diseño XILINX ISE versión 10.1

METODOLOGÍA PARA EL APRENDIZAJE DE SISTEMAS ELECTRÓNICOS DIGITALES Y SU DISEÑO

ROLES DEL PROYECTO Tomayko

kit mínimo de FPGA/ALTERA Cyclone ll. EP2C5T144C8, USB BLASTER y DC 5V

INGENIERÍA DE COMPUTADORES 3. Solución al Trabajo Práctico - Junio de 2014

INGENIERÍA DE COMPUTADORES 3. Solución al Trabajo Práctico - Junio de 2016

Sistemas Embebidos. Actividad 1: Integración de módulos de hardware programable

Capítulo 6 Pruebas y Resultados

TEMA 2: Control combinacional. 1.- Introducción. Esquema:

Práctica 3 Captura Esquemática

TAREA DE SIMULACIÓN-TS1: CONSTRUCCIÓN Y SIMULACIÓN DE CIRCUITOS COMBINACIONALES SENCILLOS CON CIRCUITOS INTEGRADOS ESTANDAR

INGENIERÍA DE COMPUTADORES 3. Solución al Trabajo Práctico - Junio de 2017

CAPITULO IV PRUEBAS DE COMUNICACIÓN ENTRE LOS EQUIPOS WIRELESS DE RADIOFRECUENCIA RF

CAPITULO 5. DISPOSITIVOS DE LOGICA RECONFIGURABLE

PLATAFORMA PARA EL AUTOAPRENDIZAJE DE LAS FPGA Y SUS APLICACIONES

Proyecto 3: CONTROL DE VELOCIDAD DE UN MOTOR DC Y TRANSMISIÓN DE DATOS

Principios del FPGA y aplicaciones en el control de procesos industriales.

PROBLEMA VHDL. El comportamiento de un circuito multiplexor (o MUX) de 4 entradas se describe a continuación:

TEMA 1 FUNDAMENTOS DEL DISEÑO DEL HARDWARE DIGITAL

Universidad Nacional Autónoma de México. Facultad de Ingeniería TRABAJO DE MINIPROYECTOS

DISEÑO DE PROCESADORES DEDICADOS. Práctica 2 Síntesis Lógica Tarjeta Spartan II. Campo 1: Datos Personales.

ANALIZADOR LÓGICO VIRTUAL PARA ORDENADOR PERSONAL

ELECTRÓNICA DIGITAL (P2000)

Sistemas Electrónicos Digitales

Sílabo ARDUINO Y SENSORES. Especialista en Robótica Arduino. (24 Horas) INSTITUTO DE EDUCACIÓN SUPERIOR TECNOLÓGICO PRIVADO COORDINACIÓN ACADÉMICA

PRÁCTICAS DE ELECTRÓNICA DIGITAL

6 10 3,5 2,0 4,5. PROGRAMA DE CURSO Código Nombre EL Sistemas Digitales Nombre en Inglés Digital Systems SCT

ARQUITECTURA DE LOS AUTOMATAS PROGRAMABLES

Anexo Enlace Contable

MICROCONTROLADORES PIC

Técnicas de Programación Hardware: CAD para FPGAs y CPLDs. Clase 1: Lógica Configurable

Guía rápida de Comienzo para IDS VCM

Sistemas Electrónicos Digitales Curso de adaptación al Grado

INGENIERÍA DE COMPUTADORES 3. Solución al Trabajo Práctico - Septiembre de 2016

MultiSIM 9 Simulación y Captura. Guía rápida

Transcripción:

DISEŇO de SISTEMAS DIGITALES AVANZADOS CON VHDL e IMPLEMENTADOS en FPGAs Laboratorio 3 Objetivo Utilización de las instrucciones concurrentes CSA, SSA, componentdeclaration y component-instantiation aprendidas en clase. Familiarización con el software Quartus y con el software ModelSim. Uso de archivo de restricción para pines E/S del FPGA vinculados a hardware del board DE2-115. Configuración del FPGA con el código VHDL correspondiente. Parte A Realice un decodificador de Hexadecimal a 7-segmentos. El decodificador tiene cuatro bits de entrada que codifica un número entre 0 y 15. El decodificador tiene siete salidas desde a hasta g, correspondiendo cada letra a un segmento del LED display. Cada segmento es activo en bajo. Compruebe el funcionamiento del decodificador mediante la simulación adecuada del mismo. En el test bench use instrucción assert para verificar en código el correcto funcionamiento de la descripción realizada. Parte B Usando component-declaration y component-instantiation describa el siguiente sistema en VHDL. Compruebe su funcionamiento con un test bench. Trate de usar for-generate para el multiplexado de los contadores.

C_1 b0 C_2 b1 C_3 b2 Deco Hex - 7Segments C_4 b3 Sel Nota 1: Los bloques C_1-C_4 son contadores de 4 bits que serán implementados en el próximo laboratorio. Por ahora lo único que interesa es que cada contador es de 4 bits. Nota 2: Las salidas de los contadores C_1-C_4 no han sido conectados para una mayor claridad del diagrama. Pero todas deben ser conectadas como entradas en la entidad. Parte C Usando el proyecto realizado en el punto A de este laboratorio, escriba un archivo de restricción (constraint file) en el que se asigne las señales de entrada del a switches, y las salidas a los LEDs disponibles en el board DE2-115. Compruebe la correcta asignación de la señales de entrada/salida con los respectivos pines de E/S del FPGA revisando el reporte de respectivo. Una vez comprobado el correcto funcionamiento en simulación, genere el archivo de configuración del FPGA. Finalmente se procederá a configurar el Cyclone IV (en el laboratorio). Cristian Sisterna DSDA Lab 3 2

Parte D Usando el proyecto realizado en el punto B de este laboratorio, escriba un archivo de restricción (constraint file) en el que se asigne los pines de E/S de su entidad a los correspondientes pines de E/S para controlar un display de 7 segmentos disponible en el board DE2-115. Asocie las entradas de los contadores, y el selector del multixplexer con los switches disponibles en el board (SW17-SW0), y las del decodificador de Hex- 7Segm con uno de los display 7 segmentos disponibles. Compruebe la correcta asignación de la señales de entrada/salida con los respectivos pines de E/S del FPGA revisando el reporte de respectivo. Genere el modelo de simulación post-place and route. Ejecute una simulación Post Place-Route. Verifique en ModelSim el retardo de propagación de los elementos combinacionales/secuenciales. Una vez comprobado el correcto funcionamiento en simulación, genere el archivo de configuración del FPGA. Finalmente se procederá a configurar el Cyclone IV (en el laboratorio). Informe Presentar un informe completo del diseño siguiendo las reglas especificadas en la guía para la presentación de informes de laboratorios. De la parte D de este laboratorio, incluya en el informe una captura de pantalla del retardo de propagación capturado en ModelSim. También el RTL esquemático del retardo de propagación más largo. Los códigos escritos para los distintos componentes deben ser agregados al informe como apéndices. Presentar un ítem en el informe describiendo los problemas encontrados y sus respectivas soluciones. Como así también los warnings generados por el Quartus y sus soluciones. Cristian Sisterna DSDA Lab 3 3

Apéndice A Pines del FPGA conectados a los switches de la placa. Apéndice B Pines del FPGA que controlan los LEDs verdes y LEDs rojos. Cristian Sisterna DSDA Lab 3 4

Apéndice C Pines del FPGA que controlan el primer 7 segmentos. Apéndice C Verificación de la correcta asignación de pines de E/S. 1 2 Cristian Sisterna DSDA Lab 3 5