EN ESTE CIRCUITO NO HAY FORMA DE QUE LA SALIDA Q VUELVA A VALOR CERO 0, SIEMPRE QUEDA EN UNO 1

Documentos relacionados
Latch D con Multiplexor. Recordemos el funcionamiento de un Multiplexor B 1. Control Z 0 A 1 B. Realicemos la siguiente modificación

CONTADORES CONTADORES ASINCRONOS ASCENDENTE

TEMA 12 Circuitos secuenciales

PARTE I. TÉCNICAS DE DISEÑO ELECTRÓNICO MEDIANTE LENGUAJES DE DESCRIPCIÓN HARDWARE

+ Máquinas de Estado Finitas

CURSO: Electrónica digital UNIDAD III: CIRCUITOS SECUENCIALES - TEORÍA

LECCIÓN Nº 05 SEÑALES DE RELOJ Y FLIP FLOP SINCRONIZADOS POR RELOJ

Circuitos Secuenciales: concepto de estado

Cuatro Tipos de Flip-Flop en la GAL22V10

Latches, flipflops y registros

T7-SISTEMAS SECUENCIALES

FLIP-FLOP JK MAESTRO-ESCLAVO

Circuitos Secuenciales Autómatas

TEMA 5.3 SISTEMAS DIGITALES

Los circuitos con realimentación no son combinacionales. Constituyen un nuevo tipo, los llamados secuenciales.

Organización de Computadoras Apunte 5: Circuitos Lógicos Secuenciales

CIRCUITOS SECUENCIALES

PROBLEMAS DE APLICACIÓN CON FLIP FLOP

Electrónica Digital: Diseño y Lógica Secuencial

CONTADORES. Definición. Diseño y analisis de un contador binario hacia arriba de 3 bits con flip-flops JK. Otros contadores típicos.

Introducción a los Circuitos Secuenciales LATCHES Y FLIP-FLOPS. Por: Carlos A. Fajardo

INGENIERÍA DE COMPUTADORES III. Solución al examen de Septiembre 2013

Diseño VLSI. Diseño de elementos de memoria. Enric Pastor Dept. Arquitectura de Computadors UPC

Electrónica Digital Departamento de Electrónica VHDL. Bioingeniería Facultad de Ingeniería - UNER

Circuitos Secuenciales

Tema 4. Introducción a los Sistemas y Circuitos Secuenciales. Tema 4: Introducción a los Sistemas y Circuitos Secuenciales

Julio. [ Programación en VHDL ] Guía rápida. [ h t t p : / / w w w. o p e n b o x e r m b. c o m / a s i g n a t u r a s / d s d.

LABORATORIO DE CIRCUITOS DIGITALES (2005-II) QUINTA CLASE DE VHDL

Módulo 2 n. Figura 2.1. Simbología de un contador

EIE SISTEMAS DIGITALES Tema 7: Latches, Flip-Flops y Temporizadores. Nombre del curso: Sistemas Digitales Nombre del docente: Héctor Vargas

LATCHES, FLIP FLOPS, CONTADORES Y REGISTROS

Unidad III Introducción a la lógica secuencial

Circuitos secuenciales. Circuitos secuenciales. Circuitos secuenciales. Elementos de memoria: Latches

CIRCUITOS SECUENCIALES. Tema 6: ANÁLISIS Y DISEÑO DE CIRCUITOS SECUENCIALES SÍNCRONOS

Circuitos Lógicos Secuenciales. Figura 36. Circuito lógico secuencial. Actividad de apertura. Circuitos lógicos secuenciales.

Tema 4. Elementos Lógicos Secuenciales

Práctica I.- Introducción a los Sistemas Secuenciales

TEMA 4. Registro de la Información

Registros de desplazamiento

Registros y latches multibit. EL-3213 Circuitos Digitales I. Registro de 8 bits (octal register) Otros registros de 8 bits. 74x175

EL-3213 Circuitos Digitales I. Registros y latches multibit

UNIVERSIDAD CARLOS III DE MADRID. Ejercicios de VHDL. Circuitos Integrados y Microelectrónica. Luis Entrena. Celia López.

CURSO: ELECTRÓNICA DIGITAL UNIDAD 3: SISTEMAS SECUENCIALES - TEORÍA PROFESOR: JORGE ANTONIO POLANÍA

Sistemas Secuenciales

1.4 Biestables síncrono

Tema 7. Análisis de Circuitos Secuenciales

TEMA - 3 LÓGICA SECUENCIAL. REGISTROS DE DESPLAZAMIENTO Y CONTADORES. 1.- Introducción.

1.3 COMPUERTAS LÓGICAS, FLIP-FLOPS Y CONTADORES PREP

LABORATORIO DE CIRCUITOS DIGITALES (2005-II) CUARTA CLASE DE VHDL

Circuitos Electrónicos

Figura 1: Símbolo lógico de un flip-flop SR

ELO211: Sistemas Digitales. Tomás Arredondo Vidal 1er Semestre 2008

Práctica 5. Generadores de Señales de Reloj y Flip-flops

2).Diseñar los circuitos cuyas tablas de estados son las siguientes:

Flip-Flops. Flops. Introducción n a los Sistemas Lógicos y Digitales 2009

Tema 6. Elementos Lógicos Secuenciales

Lógica Secuencial. Circuitos Digitales, 2º de Ingeniero de Telecomunicación ETSIT ULPGC

4. Elementos básicos de memoria. Biestables (Básculas).

9-Sistemas Secuenciales

Correcto uso de Reset en FPGAs y su Codificación en VHDL

Circuitos Electrónicos Digitales E.T.S.I. Telecomunicación Universidad Politécnica de Madrid. Circuitos Secuenciales

Biestables. Luis Entrena, Celia López, Mario García, Enrique San Millán. Universidad Carlos III de Madrid

TEMA 10. CIRCUITOS SECUENCIALES

Relación de Problemas de Circuitos Secuenciales

Problemas de Electrónica Digital Tema 5 Flip-Flops. Circuitos Secuenciales Síncronos

1. Módulo de desarrollo Spartan 3 STARTER KIT con un FPGA xc3s200 ft Software de diseño XILINX ISE versión 10.1

Tema 7. SISTEMAS SECUENCIALES SISTEMAS SECUENCIALES SÍNCRONOS

ELECTRÓNICA DIGITAL TEMA 5

UNIVERSIDAD NACIONAL MAYOR DE SAN MARCOS FACULTAD DE INGENIERIA ELECTRONICA ESCUELA DE ELECTRONICA

VHDL y el método de diseño basado en descripción y síntesis. RESUMEN.

^6+1 2^5+1 2^2+1 2^1+1 2^ ^6+1 2^0-65.

3.3 Registros de corrimiento

Organización n del Computador 1. Lógica Digital 2 Circuitos y memorias

dksdkñld Docentes )) Ing. Rodrigo Furlani Ing. Domingo Guarmaschelli 1 Práctico Nº 4: Lógica Secuencial Flip Flop RS NOR

6. Codificadores. Electrónica Digital. Tema

Practica 1 (3.5 %) 1. Realice el diseño y montaje de un R_S discreto activo en bajo.

Un contador es un circuito secuencial que genera una secuencia ordenada de salidas que se repite en el tiempo. La salida coincide con el estado de

TEMA 8. REGISTROS Y CONTADORES.

Sesión 3: Modelo temporal: VHDL concurrente. S3 1 Laboratorio de Estructura de Computadores Curso 04 / 05

MONOGRAFÍA CIENTÍFICA

REGISTROS DE DESPLAZAMIENTO

5Ejemplos de Aplicaciones del VHDL.

CONTADORES CARACTERISTICAS IMPORTANTES UTILIDAD CONTADORES DE RIZADO. CONTADOR DE RIZADO MODULO- 16.

INTRODUCCIÓN AL SOFTWARE ISE (Integrated Software Environment) DE XILINX

INTRODUCCIÓN A HDL VERILOG

Electrónica. Tema 6 Circuitos Secuenciales. Tema 1 Fundamentos de semiconductores

4. SUMADORES EN BINARIO PURO (I)

1.- El circuito de la figura se comporta como un latch D con reloj. Justificar esta afirmación.

Practica No. 8 Introducción a las Máquinas de Estados. 1. En la figura 1 se muestra el comportamiento de un robot que evade obstáculos.

VI. Especificación del Comportamiento

TEMA 7. Registros y contadores

Organización del Computador 1 Lógica Digital 2: circuitos y memor

ELO211: Sistemas Digitales. Tomás Arredondo Vidal 1er Semestre 2009

ELO211: Sistemas Digitales. Tomás Arredondo Vidal 1er Semestre 2009

Arquitectura de Computadores. Práctica 1: Diseño Hardware de Procesadores. Práctica 1/1

Lógica Digital. Circuitos Secuenciales. Maximiliano Urso. Orga I

MAQUINA DE ESTADO FINITO (FSM) Autómata finito

TEMA 3: Control secuencial

Temario Contadores asincrónicos y sincrónicos Arquitectura Características Circuitos comerciales Diseño Máquinas de estado finito Mealy Moore Ejemplo

2, Detallar los diversos tipos de Flip Flop

Transcripción:

FF PINCIPIO t t pd t+ = ( + ) t Futuro Presente EN ETE CICUITO NO HAY FOMA DE UE LA ALIDA VUELVA A VALO CEO, IEMPE UEDA EN UNO. POPONEMO EL IGUIENTE CICUITO EL CUAL PEMITE UE LA ALIDA VAYA A CEO

MEMOIA CON BOADO`-BIETABLE t Pres Fut N O t+ t NO N O

BIETABLE NAND APLICACION Pres Fut t+ Vcc NO t BIETABLE INCONICO t Pres t+ Fut t+ t NO

BIETABLE- TIMING BIETABLE INCONICO BIETABLE D INCONICO - LATCH D () t Pres t+ Fut t t+ () D t+ t NO D

LATCH D CON MUX A B Z Control Z A B Z = Control. B + Control. A Control LATCH POITIVO LATCH NEGATIVO D =, mem =, = D D =, =D =, :mem

LATCH CON TG Implementacion de un Latch con inversores y TG (Transmision Gate) Dato L L2 Valor memorizado Como se observa ambas llaves trabajan en contraposición (L: on, L2: off). i L esta cerrada el dato de entrada se repite a la salida (L2: off), cuando se abre L y se cierra L2 el Dato queda memorizado En el dibujo que sigue observamos el diseño de un Latch con TG

FLIP-FLOP INCONIZADO PO ELOJ La mayoria dispara por flanco (borde) y el símbolo que los identifica es ENTADA AINCONA ENTADA AINCONA --- --- Flanco Positivo Flanco Negativo Tiempos de establecimiento y retención (etup, Hold Time) Entrada síncrona ts th Establecimiento etencion

Biestable disparado por flanco (Edge Triggered) CONFOMADO DETECTO DE FLANCO C k Circuito conformador/detector de flancos C k C k C k tpd C k FLANCO POITIVO FLANCO NEGATIVO

Toggle Flip Flop DT DT: Detector de Flanco C k alida Presente t alida Futura t+ 2 3 4 5 6 7 8 9 2 Diagrama temporal Toggle FF

FLIP FLOP D y JK FF D D DT C k Entrada Presente D alida Futura t+ FF JK DT J K C k Entrada Presente J K alida Futura t+ t t (negado)

FF D vs LATCH D o D D ---- o ---- FF LATCH

FF - T T DT C k Entrada Presente T alida Futura t+ t t (negado) DT J K C k ENTADA AINCONA Entradas Asincronas Entrada Presente J K x x alida Futura t+ t t (negado) x x x x No permitido

CONDICIONE INICIALE ACTIVACION PO BAJO ACTIVACION PO ALTO Vcc Vcc J K C J K C Vc Vr Vcc ENCENDIDO Vcc t t Vc t a Vr t a Vt Vt t t

Vcc Vcc TABLA Y DIAGAMA DE ETADO FFJK TV Entrada Presente alida Futura J K t+ t t (negado) ECUACION CAACTEITICA J K t t+ t + = Jt + Kt ETADO PEENTE t TABLA DE ETADO ETADO FUTUO t + ENTADA X J K DIAGAMA DE ETADO O DIAGAMA DE TANICIONE X X X

CODIGO VHDL LATCH D LIBAY ieee; UE ieee.stdlogic64.all ; ENTITY latch I POT ( D, Clk : IN TDLOGIC ; : OUT TDLOGIC ) ; END latch ; ACHITECTUE Behavior OF latch I BEGIN POCE (D, Clk) BEGIN IF Clk = THEN <= D ; END IF, END POCE ; END Behavior ; i Clk=, no se especifica el valor de, y retiene el valor actual Código para un latch D

CODIGO VHDL FF D LIBAY ieee; UE ieee.stdlogic64.all ; ENTITY flipflop I POT ( D, Clock : IN TDLOGIC ; : OUT TDLOGIC ) ; END flipflop ; ACHITECTUE Behavior OF flipflop I BEGIN POCE ( Clock) BEGIN IF Clock EVENT AND Clock = THEN (flanco positivo) <= D ; END IF, END POCE ; END Behavior ;

CODIGO VHDL FF D (alternativo) LIBAY ieee; UE ieee.stdlogic64.all ; ENTITY flipflop I POT ( D, Clock : IN TDLOGIC ; : OUT TDLOGIC ) ; END flipflop ; ACHITECTUE Behavior OF flipflop I BEGIN POCE BEGIN WAIT UNTIL Clock EVENT AND Clock = ; <= D ; END POCE ; END Behavior ;

CODIGO VHDL FFD CON CLEA AINCONICO e describe un FFD con una entrada reset (clear) asíncrono que se activa por nivel bajo. Cuando esetn, la entrada reset es igual a y la salida del FF va a (=) LIBAY ieee; UE ieee.stdlogic64.all ; ENTITY flipflop I POT ( D, esetn, Clock : IN TDLOGIC ; : OUT TDLOGIC ) ; END flipflop ; ACHITECTUE Behavior OF flipflop I BEGIN POCE ( esetn, Clock) BEGIN IF esetn = THEN <= ; ELIF Clock`EVENT AND Clock = THEN <= D ; END IF ; END POCE ; END Behavior ;

CODIGO VHDL FF D CLEA INCONICO En este caso la señal de reset (clear) solamente actua cuando llega un flanco positivo de Clock. Este código VHDL genera el circuito que se muestra a continuación LIBAY ieee; UE ieee.stdlogic64.all ; ENTITY flipflop I POT ( D, esetn, Clock : IN TDLOGIC ; : OUT TDLOGIC ) ; END flipflop ; ACHITECTUE Behavior OF flipflop I BEGIN POCE ( esetn, Clock) BEGIN WAIT UNTIL Clock = ; IF esetn = THEN <= ; ELE <= D ; END IF ; END POCE ; END Behavior ; esetn D Clock D ET CL

LIBAY ieee ; UE ieee.stdlogic64.all ; ENTITY muxdff I POT ( D, D, el, Clock : IN TDLOGIC ; : OUT TDLOGIC ) ; END muxdff ; ACHITECTUE Behavior OF muxdff I BEGIN POCE BEGIN WAIT UNTIL Clock'EVENT AND Clock = '' ; IF el = '' THEN <= D ; ELE <= D ; END IF ; END POCE ; END Behavior ; Figure 7.48 Code for a D flip-flop with a 2-to- multiplexer on the D input

FLIP`FLOP EN CACADA J --- K J2 2 ---- K2 2 Condiciones Iniciales: CI: = ; 2 = El funcionamiento correcto es el indicado en la siguiente figura (J 2 ) 2 C K t phl ( ) tp LH ( 2 ) Para que ello ocurra tphl debe ser mayor a th, tiempo de retención, (tphl > th ) de 2, cosa que ciertamente se cumple, si ello no ocurriera la respuesta de 2 seria incierta. Los FFs actuales tienen un th < 5 nseg., tendiendo a nseg

EJECICIO - -EALIZA EL DIAGAMA TEMPOAL DEL CICUITO DE LA FIGUA 2-EALIZA EL DIAGAMA TEMPOAL DEL CICUITO DE LA FIGUA D D

EJECICIO 2 3-EALIZA EL DIAGAMA TEMPOAL DEL CICUITO DE LA FIGUA T X X X X COMO PUEDE VEE LA ALIDA EPONDE A UN FF T

EJECICIO 3 EGITO Y LATCHE DE VAIO BIT

EJECICIO - 4 EGITO DE 8 BIT