INGENIERÍA DE COMPUTADORES 3. Trabajo Práctico - Septiembre 2012

Documentos relacionados
INGENIERÍA DE COMPUTADORES 3. Trabajo Práctico - Septiembre 2013

INGENIERÍA DE COMPUTADORES 3. Trabajo Práctico - Convocatoria Ordinaria 2012

INGENIERÍA DE COMPUTADORES 3. Trabajo Práctico - Junio 2014

INGENIERÍA DE COMPUTADORES 3. Trabajo Práctico - Junio 2018

INGENIERÍA DE COMPUTADORES 3. Trabajo Práctico - Junio 2017

INGENIERÍA DE COMPUTADORES 3. Trabajo Práctico - Septiembre 2017

INGENIERÍA DE COMPUTADORES 3. Solución al Trabajo Práctico - Junio de 2016

LENGUAJES DE PROGRAMACIÓN. Trabajo Práctico - Septiembre de 2012

INGENIERÍA DE COMPUTADORES 3. Solución al Trabajo Práctico - Septiembre de 2016

LENGUAJES DE PROGRAMACIÓN. Trabajo Práctico - Junio de 2015

INGENIERÍA DE COMPUTADORES 3. Solución al Trabajo Práctico - Junio de 2014

INGENIERÍA DE COMPUTADORES 3. Solución al Trabajo Práctico - Junio de 2017

LENGUAJES DE PROGRAMACIÓN. Trabajo Práctico - Septiembre de 2017

INGENIERÍA DE COMPUTADORES III. Solución al examen de Septiembre 2014

INGENIERÍA DE COMPUTADORES 3. Solución al Trabajo Práctico - Junio de 2012

INGENIERÍA DE COMPUTADORES III. Solución al Ejercicio de Autocomprobación 3

LENGUAJES DE PROGRAMACIÓN. Trabajo Práctico - Junio de 2016

INGENIERÍA DE COMPUTADORES 3. Solución al examen de Septiembre 2016

INGENIERÍA DE COMPUTADORES III. Solución al Ejercicio de Autocomprobación 8

INGENIERÍA DE COMPUTADORES 3. Solución al examen de Junio 2017, Primera Semana

INGENIERÍA DE COMPUTADORES III. Solución al Ejercicio de Autocomprobación 6

INGENIERÍA DE COMPUTADORES III. Solución al Ejercicio de Autocomprobación 10

INGENIERÍA DE COMPUTADORES 3. Solución al examen de Junio 2014, Primera Semana

INGENIERÍA DE COMPUTADORES 3. Solución al examen de Junio 2013, Segunda Semana

INGENIERÍA DE COMPUTADORES III. Solución al Ejercicio de Autocomprobación 4

INGENIERÍA DE COMPUTADORES III. Solución al Ejercicio de Autocomprobación 1

INGENIERÍA DE COMPUTADORES 3. Solución al examen de Junio 2015, Segunda Semana

INGENIERÍA DE COMPUTADORES III. Solución al Ejercicio de Autocomprobación 5

INGENIERÍA DE COMPUTADORES 3. Solución al Trabajo Práctico - Junio de 2013

INGENIERÍA DE COMPUTADORES 3. Solución al examen de Junio 2014, Segunda Semana

INGENIERÍA DE COMPUTADORES III. Solución al examen de Septiembre 2012

INGENIERÍA DE COMPUTADORES III. Solución al examen de Junio 2012, Segunda Semana

INGENIERÍA DE COMPUTADORES III. Solución al examen de Septiembre 2013

6. Codificadores. Electrónica Digital. Tema

INGENIERÍA DE COMPUTADORES III. Solución al examen de Junio 2012, Primera Semana

Los multiplexores son dispositivos de varias entradas que permiten seleccionar la señal que llega a una de éstas y transmitirla a la salida.

ELECTRÓNICA DIGITAL 24-I Determinar el valor decimal de los números expresados en Complemento a 2. (0.25 puntos).

Sistemas Electrónicos Digitales Curso de adaptación al Grado

Sistemas Digitales - Examen temas 1, 2 y 3 - (6 de Abril 2016)

Diseño de Sistemas Electrónicos Digitales Avanzados

^6+1 2^5+1 2^2+1 2^1+1 2^ ^6+1 2^0-65.

Sistemas Digitales - Examen temas 1, 2 y 3 - (6 de Abril 2016)

8. Multiplexores Digitales

Práctica 2 de la Asignatura Programación y Estructuras de Datos Avanzadas Versión 1.0

UNIVERSIDAD CARLOS III DE MADRID. Ejercicios de VHDL. Circuitos Integrados y Microelectrónica. Luis Entrena. Celia López.

9. Sistemas secuenciales. Contadores y registros

CODIFICADORES CON PRIORIDAD. Grupo 2

Práctica 1 de la Asignatura Programación y Estructuras de Datos Avanzadas Versión 1.1

Diseño VHDL de módulos combinacionales básicos

PRUEBA DE ENTRADA NOMBRE : FECHA: / /2005 CÓDIGO : LAB. Nº: 4 HORARIO: H-441

4.5. Procedimiento de síntesis

DOCUMENTACIÓN Y ADMINISTRACIÓN SANITARIA

INFORMÁTICA INDUSTRIAL. 3º INGENIERÍA TÉCNICA ELECTRÓNICA TRABAJO TUTORADO DE VHDL. Primer Cuatrimestre Curso 2005/2006.

CRITERIOS DE EVALUACIÓN Y CALIFICACIÓN CURSO

Sesión 1: Introducción al lenguaje VHDL. S1 1 Laboratorio de Estructura de Computadores Curso 04 / 05

Tema 4 - Bloques combinacionales

Decodificadores/Demultiplexores. Grupo 9 Javier de Gregorio Menezo Laro de la Fuente Lastra Raúl Fernández Díaz

PRACTICA 3. Lenguaje de descripción de hardware VHDL.

Operadores y atributos en VHDL [1]

Multiplicador Digital

SISTEMAS DIGITALES CONTROL 1 (31/3/2014) APELLIDOS DNI: Firma NOMBRE MODELO DE EXAMEN A

Practicas tuteladas VHDL (curso 04-05)

Código concurrente en VHDL [5]

CIRCUITOS ELECTRÓNICOS DIGITALES BOLETÍN DE PROBLEMAS 5

A.E.1. PRIMERA ACTIVIDAD: Diseño, Implementación y Simulación de un Circuito en Lógica Combinacional

SISTEMAS DIGITALES CONTROL 1 (20/4/2015)

2. Sumadores. Diagrama. Donde a y b son los bits a sumar, S el. resultado de la suma y C el acarreo generado. b EB. Circuito. Tabla de verdad.

DEPARTAMENTO DE DIBUJO Y ARTES PLÁSTICAS I.E.S. MEDINA AZAHARA CÓRDOBA. Curso: DIBUJO TÉCNICO II 2º Bachillerato.

INSTALACIONES Y MÁQUINAS ELÉCTRICAS

TAREA DE SIMULACIÓN-TS1: CONSTRUCCIÓN Y SIMULACIÓN DE CIRCUITOS COMBINACIONALES SENCILLOS CON CIRCUITOS INTEGRADOS ESTANDAR

ESTRUCTURA DE COMPUTADORES

CRITERIOS DE EVALUACIÓN DE 3º y 4º DE ESO CRITERIOS GENERALES DE EVALUACIÓN ESO

5. Decodificadores. Salida _1= A A A A = m = M ... Electrónica Digital. Tema

TRABAJO 1: CONSTRUCCIÓN Y SIMULACIÓN DE CIRCUITOS COMBINACIONALES SENCILLOS CON CIRCUITOS INTEGRADOS ESTANDAR

CRITERIOS DE EVALUACIÓN Y CALIFICACIÓN

EVALUACIÓN PARA TECNOLOGÍA 4º E.S.O.

PRUEBA DE ENTRADA E P3 P2

Sumadores. Tipos de sumadores: Half-adder. Full-Adder. Carry-Look-Ahead. Carry-select.

17-18 GUÍA DE ESTUDIO PÚBLICA COMUNICACIÓN Y DISTRIBUCIÓN DE PRODUCTOS TURÍSTICOS Y DEL OCIO GRADO EN TURISMO TERCER CURSO CÓDIGO

Fundamentos de la Informática

LABORATORIO DE CIRCUITOS DIGITALES (2005-II) SEGUNDA CLASE DE VHDL

PRESENTACIÓN Y CONTEXTUALIZACIÓN

Montaje y evaluación de sistemas digitales combinacionales.

Practica No. 8 Introducción a las Máquinas de Estados. 1. En la figura 1 se muestra el comportamiento de un robot que evade obstáculos.

DIRECTRICES Y ORIENTACIONES GENERALES PARA LAS PRUEBAS DE ACCESO A LA UNIVERSIDAD

PRESENTACIÓN Y CONTEXTUALIZACIÓN

TECNOLOGÍA DE COMPUTADORES / SISTEMAS DIGITALES EXAMEN FINAL. 13 ENERO TIEMPO 1h 30m SOLUCIÓN

DISEÑO DE PROCESADORES DEDICADOS. Práctica 6 LCD de Propósito General

Practica 9 Estilos de codificar a estados FCE

5.1. Introducción a los sistemas digitales

6. Sumadores y restadores

PRÁCTICA 1. DISEÑO COMBINACIONAL BÁSICO

PRACTICA 6: CIRCUITOS ARITMETICOS: SUMADORES Y RESTADORES.

Sistemas Digitales I Taller No 2: Diseño de Circuitos combinacionales usando VHDL

EJERCICIOS TEMA 17: CIRCUITOS DIGITALES COMBINACIONALES

RESUMEN INFORMATIVO PROGRAMACIÓN DIDÁCTICA CURSO 20017/2018

Lógica Digital y Microprogramable

HOJAS INFORMATIVAS PARA PADRES Y ALUMNOS

Transcripción:

Trabajo Práctico - Septiembre 22 INSTRUCCIONES El trabajo práctico debe realizarse de manera individual. No puede realizarse en grupo. Por ello, se penalizará cualquier uso compartido de las soluciones propuestas y de los códigos programados. El trabajo debe entregarse a través del curso virtual de la asignatura en la plataforma Alf. La fecha límite de entrega es el día de septiembre. El alumno debe entregar un fichero comprimido, en formato zip o tar, que contenga: Una memoria en la cual explique la solución a los ejercicios, incluyendo los listados documentados del código VHDL desarrollado. Este documento deberá estar en formato pdf. Los ficheros del código VHDL solución a los ejercicios. El nombre del fichero comprimido debe ser la concatenación del nombre y apellidos del alumno. Por ejemplo, LuisaGomezMartin.zip

CRITERIOS DE EVALUACIÓN DEL TRABAJO Para que el trabajo pueda ser corregido, es imprescindible que el alumno entregue dentro del plazo establecido un fichero comprimido que contenga tanto la memoria en formato pdf, como el código VHDL de los ejercicios que haya realizado. El trabajo se compone de 2 ejercicios con varios apartados. En el enunciado se indica la puntuación de cada apartado. Para aprobar el trabajo es necesario que la suma de la nota obtenida en los dos ejercicios sea igual o mayor que 5. Si el código VHDL solución de un apartado tiene uno o varios errores de compilación, o no tiene la funcionalidad pedida, dicho apartado se valorará con cero puntos. Si el código solución de un apartado compila sin errores y tiene la funcionalidad pedida, la puntuación en dicho apartado será al menos el 8 % de la nota del apartado. Se valorará positivamente la eficiencia y la adecuada documentación del código, así como la presentación y calidad de las explicaciones proporcionadas en la memoria. 2 Dpto. de Informática y Automática, UNED

TRABAJO PRÁCTICO - SEPTIEMBRE 22 PROCEDIMIENTO DE EVALUACIÓN EN ESTA ASIGNATURA Para aprobar la asignatura es necesario aprobar el trabajo y aprobar el examen. Plantearemos un trabajo para la convocatoria ordinaria (junio) y otro diferente para la convocatoria extraordinaria (septiembre). Este trabajo que está leyendo corresponde a la convocatoria extraordinaria de 22. La nota obtenida en la convocatoria ordinaria en el trabajo y en el examen se guarda para la convocatoria extraordinaria. Es decir: Si un alumno aprueba el trabajo de la convocatoria ordinaria y no aprueba el examen, se le guarda la nota del trabajo para la convocatoria extraordinaria. Es decir, no debe hacer el trabajo de la convocatoria extraordinaria. Si un alumno no entrega o suspende el trabajo en convocatoria ordinaria, pero sí aprueba el examen en convocatoria ordinaria, entonces se le guarda la nota del examen para la convocatoria extraordinaria, debiendo aprobar el trabajo de la convocatoria extraordinaria para superar la asignatura. La nota del trabajo y del examen no se guarda de un curso para otro. Dpto. de Informática y Automática, UNED 3

EJERCICIO.a) (.5 puntos) Escriba en VHDL la entity de un circuito multiplexor de dos señales de bit. Como se muestra en la Figura., el circuito debe tener dos entradas de datos de un bit, llamadas x y x, una entrada de selección de un bit, llamadas, y una salida de un bit, llamaday. x x s y Figura.: Multiplexor de 2 entradas de bit..b) ( punto) Escriba la tabla de la verdad del circuito multiplexor de dos señales de bit. A partir de dicha tabla de la verdad, obtenga la función lógica que describe la salida (y) en función de las entradas (x,x,s). A continuación, escriba en VHDL una architecture que describa el comportamiento de un circuito que implemente dicha función lógica..c) (.5 puntos) Dibuje el diagrama al nivel de puertas lógicas de un circuito que implemente esta función. Emplee para ello puertas lógicas AND y OR de dos entradas, y puerta NOT. A continuación, escriba en VHDL la entity y la architecture de cada una de las puertas lógicas que componen el diagrama que acaba de dibujar..d) ( punto) Escriba en VHDL una architecture que describa la estructura del circuito que ha dibujado, instanciando y conectando las puertas lógicas que ha diseñado anteriormente..e) ( punto) Escriba en VHDL un banco de pruebas que permita visualizar, para todos los posibles valores de las entradas, la salida de los circuitos diseñados en los Apartados.b y.d. Compruebe mediante inspección visual que los dos diseños funcionan correctamente. 4 Dpto. de Informática y Automática, UNED

TRABAJO PRÁCTICO - SEPTIEMBRE 22 EJERCICIO 2 En la Figura.2 se muestra la estructura de un circuito combinacional desplazador denominado barrel shifter. Obsérvese que está compuesto por la conexión de tres etapas, cada una de las cuales está compuesta por ocho multiplexores () dispuestos en una misma columna. Cada uno de estos multiplexores es como el diseñado en el Ejercicio. En la primera etapa, que es la columna de multiplexores situada más a la izquierda, una de las entradas a uno de los multiplexores está conectada a. En la segunda etapa están conectadas a una de las entradas de dos multiplexores y en la tercera etapa una de las entradas de cuatro multiplexores. Las entradas de selección de todos los multiplexores de la primera etapa están conectadas entre sí y conectadas a la entrada s(). Igualmente, las entradas de selección de todos los multiplexores de la segunda etapa están conectadas entre sí y conectadas a la entradas(). Lo mismo para las entradas de selección de los multiplexores de la tercera etapa, que están conectadas as(2). La entity del circuito se muestra a continuación. entity barrelshifter is port ( y : out std_logic_vector(7 downto ); x : in std_logic_vector(7 downto ); s : in std_logic_vector(2 downto ) ); end entity barrelshifter; 2.a) (2 puntos) Diseñe en VHDL la architecture que describe el comportamiento del circuito combinacional desplazador barrel shifter. 2.b) (2 puntos) Diseñe en VHDL la architecture que describe la estructura del circuito combinacional desplazador barrel shifter, mediante la conexión de los multiplexores como el diseñado al resolver el Ejercicio (puede emplear indistintamente el diseño realizado en el Apartado.b ó.d). 2.c) (2 puntos) Programe en VHDL un banco de pruebas que testee todas las posibles entradas al circuito barrel shifter. El banco de pruebas debe comparar las salidas de la UUT con las salidas esperadas, mostrando el correspondiente mensaje de error en caso de que las salidas obtenidas de la UUT no correspondan con las esperadas. Emplee este banco de pruebas para comprobar los diseños realizados al contestar a los Apartados 2.a y 2.b. Dpto. de Informática y Automática, UNED 5

x(7) x(6) y(7) x(5) y(6) x(4) y(5) x(3) y(4) x(2) y(3) x() y(2) x() y() s() s() s(2) y() Figura.2: Diagrama del circuito desplazador denominado barrel shifter. 6 Dpto. de Informática y Automática, UNED