INSTITUTO POLITÉCNICO NACIONAL ESCUELA SUPERIOR DE INGENIERÍA MECÁNICA Y ELÉCTRICA INGENIERÍA EN COMUNICACIONES Y ELECTRÓNICA

Tamaño: px
Comenzar la demostración a partir de la página:

Download "INSTITUTO POLITÉCNICO NACIONAL ESCUELA SUPERIOR DE INGENIERÍA MECÁNICA Y ELÉCTRICA INGENIERÍA EN COMUNICACIONES Y ELECTRÓNICA"

Transcripción

1 INSTITUTO POLITÉCNICO NACIONAL ESCUELA SUPERIOR DE INGENIERÍA MECÁNICA Y ELÉCTRICA INGENIERÍA EN COMUNICACIONES Y ELECTRÓNICA PRÁCTICAS DE CIRCUITOS LÓGICOS LABORATORIO DE COMPUTACIÓN IV PRÁCTICA 5 NOMBRE DE LA PRACTICA: OBJETIVO DE LA PRACTICA: Multipleor y Demultipleor. Diseñar un multipleor de cuatro entradas ó canales de información en los cuales, cada canal esta compuesto de 4 bits; y diseñar también un demultipleor ó selector de datos que reciba de entrada un canal de 4 bits de información y tenga cuatro canales de salida de 4 bits cada uno. DURACIÓN: Dos horas. MATERIAL NECESARIO: Fuente de voltaje de 5 V. Dos tablillas para coneiones (protoboard). Tres DIP de 8 y uno de 4. Veinte diodos LED (cinco grupos de 4 LED, cada grupo de un solo color). 52 resistencias de 47 W. Los siguientes circuitos integrados o equivalentes: Dos 74LS56, dos 74LS53. Alambre para coneiones. Manual ECG Semiconductors. AUTORES: PROFESOR: M. en C. Salvador. Saucedo Flores. Et PROFESOR: Ing. Pablo Fuentes Ramos. Et ALUMNO PIFI: Arión Durán Beltrán. P-5-

2 Un multipleor o selector de datos es un circuito lógico que acepta varias entradas de datos y permite sólo a una de ellas alcanzar la salida. El encauzamiento deseado de los datos de entrada hacia la salida es controlada por entradas de SELECCIÓN (que algunas veces se conocen como entradas de enrutamiento). La figura 5- muestra el diagrama funcional de un multipleor general (MUX). En este diagrama las entradas y salidas se trazan como flechas grandes para indicar que pueden ser una o más líneas de señales. Eiste una señal de entrada, EN, para permitir al multipleor realizar su función. Cuando EN =, todas las salidas son. Logigrama de un multipleor de 2 entradas. Figura 5-2. Multipleor de dos entradas, sin señal de habilitación EN. El multipleor actúa como un interruptor de posiciones múltiples controlado digitalmente, donde el código digital que se aplica a las entradas de SELECCIÓN controla qué entradas de datos serán trasladadas hacia la salida. Por ejemplo, la salida Z será igual a la entrada I de algún código de entrada de SELECCIÓN específico, y así sucesivamente. Dicho de otra manera, un multipleor selecciona una de N fuentes de datos de entrada y transmite los datos seleccionados a un solo canal de salida. A esto se le llama MULTIPLEXAR. P-5-2

3 MULTIPLEXOR BÁSICO DE DOS ENTRADAS. La figura 5-2 muestra la circuitería lógica de un multipleor de dos entradas, I e I y entrada de SELECCIÓN S. El nivel lógico que se aplica a la entrada S determina qué compuerta Y se habilita de manera que su entrada de datos atraviese la compuerta O hacia la salida Z. Observando esto desde otro punto de vista, la epresión booleana de la salida es Con S =, esta epresión se convierte en lo cual indica que Z será idéntica a la señal de entrada I, que puede ser un nivel lógico fijo o bien, una señal lógica que varía con el tiempo. Con S =, la epresión se transforma en lo cual muestra que la salida Z será idéntica a la señal de entrada I. Y su símbolo es: Figura 5-3. Multipleor de cuatro entradas MULTIPLEXOR DE CUATRO ENTRADAS. Se puede aplicar la misma idea básica para formar el multipleor de cuatro entradas que se muestra en la figura 5-3. Aquí se tienen cuatro entradas, que se transmiten en forma selectiva a la salida con base en las cuatro combinaciones posibles de las entradas de selección S S. Cada entrada de datos se accede con una diferente combinación de niveles de entrada de selección. I se captura con S S negadas las dos, de manera que I pase a través de su compuerta Y hacia la salida Z sólo cuando S = y S =. La tabla de la figura da las salidas de otros tres códigos de selección de entrada. P-5-3

4 En las familias lógicas TTL y CMOS se dispone regularmente de multipleores de dos, cuatro, ocho y dieciséis entradas. Estos circuitos integrados básicos pueden ser combinados para la multipleación de un gran número de entradas. Diseñando el multipleor: Multipleor de cuatro canales de entrada, cada uno de cuatro bits (4 ) P-5-4

5 Diagrama topológico del multipleor 4 (cuatro canales de entrada y uno de salida) se muestra a continuación. Un demultipleor realiza la función opuesta de la de un multipleor; por ejemplo, un demultipleor de n salidas de un bit tiene una entrada de datos y s entradas para seleccionar una de las n=2 s salidas de datos. Demultipleor con cuatro salidas: Diseñamos ahora el demultipleor de cuatro canales de información y cuatro canales de salida, donde cada canal de la salida tiene cuatro bits. P-5-5

6 Diagrama topológico de un demultipleor ó distribuidor de datos de un canal de entrada y cuatro canales posibles de salida Nota:Observar la coneión de los LED en este circuito y tomar en cuenta la polarización, pues el 56 es de colector abierto. PROCEDIMIENTO EXPERIMENTAL Armar los dos circuitos topológicos anteriores Consultar las configuraciones internas de los circuitos integrados a utilizar en el manual ECG Semiconductors. P-5-6

7 Con base a este manual y a la configuración interna del circuito integrado 74LS55 o 74LS56 eplicar por qué se conectó de esa manera el DIP Entregar en el reporte correspondiente a esta práctica el diagrama de la configuración interna de los circuitos integrados utilizados. Nota: El alumno o el equipo de trabajo deberá presentarse al laboratorio con los circuitos anteriores ya armados. CUESTIONARIO. Al cerrar el circuito del canal 5 del DIP la señal que manda es un o un? 2. Al cerrar el circuito del canal 6 del DIP la señal que manda es un o un? 3. Si quisieras manejar las señales de entrada con puros ceros o con puros unos Qué circuito integrado tendrías que anear a la coneión del diagrama topológico del demultipleor para obtener la misma respuesta en la salida? 4. Dibuja el diagrama de la respuesta de la pregunta Qué significa el círculo pequeño dibujado en la entrada de cualquier compuerta o circuito? R: Que esa compuerta o ese circuito se activa en cero. 6. Completar la tabla de verdad del siguiente CI. SELECCIÓN DE ENTRADAS PERMISO (a ó b) ENTRADAS (a ó b) SALIDA (a ó b) S S I I I 2 I 3 Z P-5-7

8 significa no importa 7. Calcular la tabla de verdad del siguiente CI y dibujar su logigrama. A A E a E b O O O 2 O 3 E b E b O O O 2 O 3 P-5-8

INSTITUTO POLITÉCNICO NACIONAL ESCUELA SUPERIOR DE INGENIERÍA MECÁNICA Y ELÉCTRICA INGENIERÍA EN COMUNICACIONES Y ELECTRÓNICA

INSTITUTO POLITÉCNICO NACIONAL ESCUELA SUPERIOR DE INGENIERÍA MECÁNICA Y ELÉCTRICA INGENIERÍA EN COMUNICACIONES Y ELECTRÓNICA INSTITUTO POLITÉCNICO NACIONAL ESCUELA SUPERIOR DE INGENIERÍA MECÁNICA Y ELÉCTRICA INGENIERÍA EN COMUNICACIONES Y ELECTRÓNICA PRÁCTICAS DE CIRCUITOS LÓGICOS LABORATORIO DE COMPUTACIÓN IV PRÁCTICA 6 NOMBRE

Más detalles

INSTITUTO POLITÉCNICO NACIONAL ESCUELA SUPERIOR DE INGENIERÍA MECÁNICA Y ELÉCTRICA INGENIERÍA EN COMUNICACIONES Y ELECTRÓNICA

INSTITUTO POLITÉCNICO NACIONAL ESCUELA SUPERIOR DE INGENIERÍA MECÁNICA Y ELÉCTRICA INGENIERÍA EN COMUNICACIONES Y ELECTRÓNICA ac INSTITUTO POLITÉCNICO NACIONAL ESCUELA SUPERIOR DE INGENIERÍA MECÁNICA Y ELÉCTRICA INGENIERÍA EN COMUNICACIONES Y ELECTRÓNICA PRÁCTICAS DE CIRCUITOS LÓGICOS LABORATORIO DE COMPUTACIÓN IV PRÁCTICA 4

Más detalles

Practica 3 TDM Switch Analógico

Practica 3 TDM Switch Analógico Universidad de San Carlos de Guatemala Facultad de Ingeniería Escuela de Mecánica Eléctrica Laboratorio de Electrónica Comunicaciones 1 Segundo Semestre 2016 Auxiliar: Rodrigo de León Multiplexación Practica

Más detalles

INSTITUTO POLITÉCNICO NACIONAL ESCUELA SUPERIOR DE INGENIERÍA MECÁNICA Y ELÉCTRICA INGENIERÍA EN COMUNICACIONES Y ELECTRÓNICA

INSTITUTO POLITÉCNICO NACIONAL ESCUELA SUPERIOR DE INGENIERÍA MECÁNICA Y ELÉCTRICA INGENIERÍA EN COMUNICACIONES Y ELECTRÓNICA INSTITUTO POLITÉCNICO NACIONAL ESCUELA SUPERIOR DE INGENIERÍA MECÁNICA Y ELÉCTRICA INGENIERÍA EN COMUNICACIONES Y ELECTRÓNICA PRÁCTICAS DE CIRCUITOS LÓGICOS LABORATORIO DE COMPUTACIÓN IV PRÁCTICA 1 NOMBRE

Más detalles

UNIVERSIDAD DEL VALLE ESCUELA DE INGENIERIA ELÉCTRICA Y ELÉCTRONICA CÁTEDRA DE PERCEPCIÓN Y SISTEMAS INTELIGENTES

UNIVERSIDAD DEL VALLE ESCUELA DE INGENIERIA ELÉCTRICA Y ELÉCTRONICA CÁTEDRA DE PERCEPCIÓN Y SISTEMAS INTELIGENTES UNIVERSIDAD DEL VALLE ESCUELA DE INGENIERIA ELÉCTRICA Y ELÉCTRONICA CÁTEDRA DE PERCEPCIÓN Y SISTEMAS INTELIGENTES LABORATORIO No. 4 Fundamentos de electrónica Compuertas Lógicas I. OBJETIVOS. Conocer el

Más detalles

PRÁCTICAS DE CIRCUITOS LÓGICOS PRÁCTICA 8

PRÁCTICAS DE CIRCUITOS LÓGICOS PRÁCTICA 8 PRÁCTICAS DE CIRCUITOS LÓGICOS PRÁCTICA 8 NOMBRE DE LA PRACTICA: Sumador y Restador. COMPETENCIA DE LA PRÁCTICA: El alumno comprobará el funcionamiento del diseño de un semisumador, un sumador completo,

Más detalles

CURSO: ELECTRÓNICA DIGITAL SISTEMAS COMBINATORIOS - TEORÍA PROFESOR: ING. JORGE ANTONIO POLANÍA

CURSO: ELECTRÓNICA DIGITAL SISTEMAS COMBINATORIOS - TEORÍA PROFESOR: ING. JORGE ANTONIO POLANÍA CURSO: ELECTRÓNICA DIGITAL SISTEMAS COMBINATORIOS - TEORÍA PROFESOR: ING. JORGE ANTONIO POLANÍA En esta unidad usted aprenderá a utilizar los diferentes circuitos integrados que se han fabricado para resolver

Más detalles

GUIA DIDACTICA DE ELECTRONICA N º12 1. IDENTIFICACION ASIGNATURA GRADO PERIODO I.H.S. TECNOLOGIA ONCE CUARTO 6

GUIA DIDACTICA DE ELECTRONICA N º12 1. IDENTIFICACION ASIGNATURA GRADO PERIODO I.H.S. TECNOLOGIA ONCE CUARTO 6 1. IDENTIFICACION ASIGNATURA GRADO PERIODO I.H.S. TECNOLOGIA ONCE CUARTO 6 DOCENTE(S) DEL AREA:NILSON YEZID VERA CHALA COMPETENCIA: USO Y APROPIACION DE LA TECNOLOGIA NIVEL DE COMPETENCIA: INTERPRETATIVA

Más detalles

INSTITUTO POLITÉCNICO NACIONAL ESCUELA SUPERIOR DE INGENIERIA MECANICA Y ELECTRICA INGENIERIA EN COMUNICACIONES Y ELECTRÓNICA ACADEMIA DE COMPUTACIÓN

INSTITUTO POLITÉCNICO NACIONAL ESCUELA SUPERIOR DE INGENIERIA MECANICA Y ELECTRICA INGENIERIA EN COMUNICACIONES Y ELECTRÓNICA ACADEMIA DE COMPUTACIÓN INSTITUTO POLITÉCNICO NACIONAL ESCUELA SUPERIOR DE INGENIERIA MECANICA Y ELECTRICA UNIDAD CULHUACAN INGENIERIA EN COMUNICACIONES Y ELECTRÓNICA ACADEMIA DE COMPUTACIÓN LABORATORIO DE CIRCUITOS DIGITALES

Más detalles

Facultad de Ingeniería Eléctrica

Facultad de Ingeniería Eléctrica Facultad de Ingeniería Eléctrica Laboratorio de Electrónica Ing. Luís García Reyes Materia: Laboratorio de Electrónica Digital I Práctica Número 6 Compuertas TTL especiales Objetivo: Comprobación del funcionamiento

Más detalles

Nombre de la práctica: Compuertas Lógicas Básicas y sus Tablas de Verdad

Nombre de la práctica: Compuertas Lógicas Básicas y sus Tablas de Verdad PRÁCTICA Nombre de la práctica: Compuertas Lógicas Básicas y sus Tablas de Verdad Objetivo de la Práctica: Comprobar las tablas funcionales o de verdad de los componentes básicos Y (AND), O (OR), NO (NOT),

Más detalles

Pequeño Manual del Protoboard

Pequeño Manual del Protoboard Pequeño Manual del Protoboard Curso Análisis de Circuitos Rodrigo Moreno V. Descripción El protoboard es una tabla que permite interconectar componentes electrónicos sin necesidad de soldarlos. Así, se

Más detalles

INSTITUTO POLITÉCNICO NACIONAL ESCUELA SUPERIOR DE INGENIERÍA MECÁNICA Y ELÉCTRICA INGENIERÍA EN COMUNICACIONES Y ELECTRÓNICA

INSTITUTO POLITÉCNICO NACIONAL ESCUELA SUPERIOR DE INGENIERÍA MECÁNICA Y ELÉCTRICA INGENIERÍA EN COMUNICACIONES Y ELECTRÓNICA INSTITUTO POLITÉCNICO NACIONAL ESCUELA SUPERIOR DE INGENIERÍA MECÁNICA Y ELÉCTRICA INGENIERÍA EN COMUNICACIONES Y ELECTRÓNICA PRÁCTICAS DE CIRCUITOS LÓGICOS LABORATORIO DE COMPUTACIÓN IV PRÁCTICA NOMBRE

Más detalles

PROBLEMA VHDL. El comportamiento de un circuito multiplexor (o MUX) de 4 entradas se describe a continuación:

PROBLEMA VHDL. El comportamiento de un circuito multiplexor (o MUX) de 4 entradas se describe a continuación: L. Nº: 2 HORRIO: H-441 FECH: 22/09/2005 El comportamiento de un circuito multiplexor (o MUX) de 4 entradas se describe a continuación: De las 4 entradas, sólo se deja pasar una de ellas, la decisión de

Más detalles

Facultad de Ingeniería Eléctrica Laboratorio de Electrónica Ing. Luís García Reyes. Materia: Laboratorio de Electrónica Digital I

Facultad de Ingeniería Eléctrica Laboratorio de Electrónica Ing. Luís García Reyes. Materia: Laboratorio de Electrónica Digital I Facultad de Ingeniería Eléctrica Laboratorio de Electrónica Ing. Luís García Reyes Materia: Laboratorio de Electrónica Digital I Práctica Número 5 Características eléctricas de la familia TTL Objetivo:

Más detalles

COMPUERTAS LÓGICAS SEPA CUALES SON Y COMO SE COMPORTAN LAS DISTINTAS. Principal Documentos Proyectos Productos Links Contacto [[EN CONSTRUCCION ]]

COMPUERTAS LÓGICAS SEPA CUALES SON Y COMO SE COMPORTAN LAS DISTINTAS. Principal Documentos Proyectos Productos Links Contacto [[EN CONSTRUCCION ]] [[EN CONSTRUCCION ]] Principal Documentos Proyectos Productos Links Contacto Compuertas lógicas. SEPA CUALES SON Y COMO SE COMPORTAN LAS DISTINTAS COMPUERTAS LÓGICAS INTRODUCCIÓN: Dentro de la electrónica

Más detalles

Instituto Tecnológico de Querétaro

Instituto Tecnológico de Querétaro Departamento de Ingeniería Eléctrica y Electrónica Guía de Práctica de Diseño Digital. I.-NÚMERO DE GUÍA: 07. II.- NOMBRE: MULTIPLEXOR..- Datos generales a) Modalidad: X Básica Dirigida Libre b) Fecha

Más detalles

Circuitos Combinacionales. Fundamentos de Computadores Escuela Politécnica Superior. U.A.M

Circuitos Combinacionales. Fundamentos de Computadores Escuela Politécnica Superior. U.A.M Circuitos Combinacionales Fundamentos de Computadores Escuela Politécnica uperior. U..M Índice de la Unidad U. Circuitos combinacionales U.. mplementación de la lógica combinacional. Funciones lógicas.

Más detalles

TEMA 2: Control combinacional. 1.- Introducción. Esquema:

TEMA 2: Control combinacional. 1.- Introducción. Esquema: Esquema: TEMA 2: Control combinacional TEMA 2: Control combinacional...1 1.- Introducción...1 1.1.-Diseño de circuitos combinacionales...2 2.- Circuitos combinacionales avanzados...2 2.1.- Codificadores...2

Más detalles

LABORATORIO N 04: Compuertas Básicas, Universales y Especiales

LABORATORIO N 04: Compuertas Básicas, Universales y Especiales LORTORIO N 04: Compuertas ásicas, Universales y Especiales 1. OJETIVOS. - Verificar experimentalmente la operación de las compuertas digitales básicas: ND, OR y NOT. - Verificar experimentalmente la operación

Más detalles

UNIVERSIDAD POLITÉCNICA DE EL SALVADOR FACULTAD DE INGENIERÍA Y ARQUITECTURA ESCUELA DE INGENIERÍA ELÉCTRICA Educación Basada en Competencias

UNIVERSIDAD POLITÉCNICA DE EL SALVADOR FACULTAD DE INGENIERÍA Y ARQUITECTURA ESCUELA DE INGENIERÍA ELÉCTRICA Educación Basada en Competencias UNIVERSIDAD POLITÉCNICA DE EL SALVADOR FACULTAD DE INGENIERÍA Y ARQUITECTURA ESCUELA DE INGENIERÍA ELÉCTRICA Educación Basada en Competencias LABORATORIO No. 1 EQUIPO Y ACCESORIOS PARA CONTROL DE MOTORES

Más detalles

CIRCUITO INTEGRADOS DIGITALES. Ing. Wilmer Naranjo 1

CIRCUITO INTEGRADOS DIGITALES. Ing. Wilmer Naranjo 1 CIRCUITO INTEGRADOS DIGITALES Ing. Wilmer Naranjo 1 CARACTERISTICAS BÁSICAS DE LOS CIRCUITOS INTEGRADOS DIGITALES Son una colección de resistores, diodos y transistores fabricados sobre una pieza de material

Más detalles

Actividad de laboratorio de electrónica automotriz. Circuito con Compuertas Lógicas

Actividad de laboratorio de electrónica automotriz. Circuito con Compuertas Lógicas Actividad de laboratorio de electrónica automotriz Circuito con Compuertas Lógicas Objetivo: La actividad busca el aprendizaje de diagnosticar el estado de un circuito eléctrico lógico con circuitos integrados

Más detalles

INSTITUTO POLITÉCNICO NACIONAL ESCUELA SUPERIOR DE INGENIERÍA MECÁNICA Y ELÉCTRICA INGENIERÍA EN COMUNICACIONES Y ELECTRÓNICA

INSTITUTO POLITÉCNICO NACIONAL ESCUELA SUPERIOR DE INGENIERÍA MECÁNICA Y ELÉCTRICA INGENIERÍA EN COMUNICACIONES Y ELECTRÓNICA INSTITUTO POLITÉCNICO NACIONAL ESCUELA SUPERIOR DE INGENIERÍA MECÁNICA Y ELÉCTRICA INGENIERÍA EN COMUNICACIONES Y ELECTRÓNICA PRACTICAS DE CIRCUITOS LÓGICOS LABORATORIO DE COMPUTACIÓN IV PRÁCTICA 8 NOMBRE

Más detalles

CIRCUITOS LOGICOS DE TRES ESTADOS.

CIRCUITOS LOGICOS DE TRES ESTADOS. Página 1 CIRCUITOS LOGICOS DE TRES ESTADOS. Las señales lógicas se componen de dos estados normales, Alto y Bajo (1 o 0). Sin embargo, algunas salidas tienen un tercer estado eléctrico que no es un estado

Más detalles

UNIDAD 2 COMPUERTAS LOGICAS

UNIDAD 2 COMPUERTAS LOGICAS UNIDAD 2 TABLA DE CONTENIDO. 2.1 Qué es Electrónica Digital. 30 2.2 Álgebra de booleana. 31 2.3 Operación booleana y compuertas lógicas. 31 2.4 Inversión o negación (complemento). 32 2.5 Suma booleana

Más detalles

INSTITUTO POLITÉCNICO NACIONAL

INSTITUTO POLITÉCNICO NACIONAL INSTITUTO POLITÉCNICO NACIONAL CECyT No. 4 LÁZAO CÁDENAS Ciclo Escolar 2-22 B Práctica No. 7 DECODIFICADO DE 4 BITS. ESULTADO DE APENDIZAJE: Comprobar experimentalmente el funcionamiento de los Decodificadores

Más detalles

UNIVERSIDAD NACIONAL DEL SANTA Facultad de Ingeniería EAP INGENIERIA DE SISTEMAS E INFORMATICA

UNIVERSIDAD NACIONAL DEL SANTA Facultad de Ingeniería EAP INGENIERIA DE SISTEMAS E INFORMATICA UNIVERSIDAD NACIONAL DEL SANTA Facultad de Ingeniería EAP INGENIERIA DE SISTEMAS E INFORMATICA DISEÑO DE CIRCUITOS COMBINATORIOS USANDO EL CONVERTIDOR LOGICO DIGITAL PARA APLICACIONES EN SISTEMAS DIGITALES

Más detalles

UNIVERSIDAD POLITÉCNICA DE EL SALVADOR FACULTAD DE INGENIERÍA Y ARQUITECTURA ESCUELA DE INGENIERÍA ELÉCTRICA Educación Basada en Competencias

UNIVERSIDAD POLITÉCNICA DE EL SALVADOR FACULTAD DE INGENIERÍA Y ARQUITECTURA ESCUELA DE INGENIERÍA ELÉCTRICA Educación Basada en Competencias UNIVERSIDAD POLITÉCNICA DE EL SALVADOR FACULTAD DE INGENIERÍA Y ARQUITECTURA ESCUELA DE INGENIERÍA ELÉCTRICA Educación Basada en Competencias Laboratorio No. 2 ARRANQUE DE UN MOTOR TRIFÁSICO Y ENCENDIDO

Más detalles

Circuitos Secuenciales

Circuitos Secuenciales M en C. Luis Antonio García espinosa MUY LÚCIDO GANDHI, si cambiamos los sin por los con (p.e. Pólitica con principios) tendríamos la sociedad perfecta. 7 PELIGROS A LA VIRTUD HUMANA 1. Riqueza sin trabajo

Más detalles

Electrónica II EMM - 0516. Participantes Representante de las academias de ingeniería Electromecánica de los Institutos Tecnológicos.

Electrónica II EMM - 0516. Participantes Representante de las academias de ingeniería Electromecánica de los Institutos Tecnológicos. 1. DATOS DE LA ASIGNATURA Nombre de la asignatura: Carrera: Clave de la asignatura: Horas teoría-horas práctica-créditos: Electrónica II Ingeniería Electromecánica EMM - 0516 3 2 8 2.- HISTORIA DEL PROGRAMA

Más detalles

DEPARTAMENTO DE TECNOLOGIA IES ANTONIO SEQUEROS TEMA 3: ELECTRÓNICA

DEPARTAMENTO DE TECNOLOGIA IES ANTONIO SEQUEROS TEMA 3: ELECTRÓNICA TEMA 3: ELECTRÓNICA 1. Dispositivos de entrada a. El resistor b. La LDR, resistencia dependiente de la luz c. El termistor, resistencia dependiente de la temperatura 2. Dispositivos de salida a. El relé

Más detalles

Departamento de Tecnología I.E.S. Mendiño. Electrónica Analógica 4º E.S.O. Alumna/o :...

Departamento de Tecnología I.E.S. Mendiño. Electrónica Analógica 4º E.S.O. Alumna/o :... Departamento de Tecnología I.E.S. Mendiño Electrónica Analógica 4º E.S.O. Alumna/o :... Electrónica Analógica 1.- El diodo. Los diodos son elementos electrónicos fabricados con silicio que sólo permiten

Más detalles

PRÁCTICA 7. CIRCUITOS ARITMÉTICOS

PRÁCTICA 7. CIRCUITOS ARITMÉTICOS PRÁCTICA 7. CIRCUITOS ARITMÉTICOS 1. Objetivo El objetivo de esta práctica es estudiar circuitos aritméticos. Como ejemplo de los circuitos aritméticos se va a usar el integrado 74LS283 (sumador completo

Más detalles

ESTRUCTURA Y TECNOLOGÍA DE COMPUTADORES PRÁCTICAS DE LÓGICA CABLEADA

ESTRUCTURA Y TECNOLOGÍA DE COMPUTADORES PRÁCTICAS DE LÓGICA CABLEADA ESTRUCTURA Y TECNOLOGÍA DE COMPUTADORES PRÁCTICAS DE LÓGICA CABLEADA INGENIERÍA TÉCNICA EN INFORMÁTICA DE GESTIÓN - 2008 PRÁCTICAS DE ESTRUCTURA Y TECNOLOGÍA DE COMPUTADORES Página 2 INTRODUCCIÓN En el

Más detalles

Conocer la aplicación de dispositivos semiconductores, como conmutadores, así como las compuertas lógicas básicas y sus tablas de verdad.

Conocer la aplicación de dispositivos semiconductores, como conmutadores, así como las compuertas lógicas básicas y sus tablas de verdad. OBJETIVO GENERAL: PRACTICA No. 1: PRINCIPIOS BÁSICOS Conocer la aplicación de dispositivos semiconductores, como conmutadores, así como las compuertas lógicas básicas y sus tablas de verdad. OBJETIVOS

Más detalles

ARQUITECTURAS ESPECIALES

ARQUITECTURAS ESPECIALES ARQUITECTURAS ESPECIALES EL - 337 Página Qué es un Multiplexor? EL - 337 Un multiplexor o MUX es un switch digital (interruptor digital) que conecta una de las entradas con su única salida. Desde el punto

Más detalles

Decodificadores/Demultiplexores. Grupo 9 Javier de Gregorio Menezo Laro de la Fuente Lastra Raúl Fernández Díaz

Decodificadores/Demultiplexores. Grupo 9 Javier de Gregorio Menezo Laro de la Fuente Lastra Raúl Fernández Díaz Decodificadores/Demultiplexores Grupo 9 Javier de Gregorio Menezo Laro de la Fuente Lastra Raúl Fernández Díaz Decodificadores Un decodificador (DEC) es un circuito combinacional que convierte un código

Más detalles

ELEMENTOS BÁSICOS DE UN CIRCUITO ELECTRÓNICO

ELEMENTOS BÁSICOS DE UN CIRCUITO ELECTRÓNICO ELEMENTOS BÁSICOS DE UN CIRCUITO ELECTRÓNICO En un circuito electrónico hay una gran variedad de componentes. Los siguientes son los más habituales. Resistencias Una resistencia es un elemento que se intercala

Más detalles

INSTITUTO POLITÉCNICO NACIONAL

INSTITUTO POLITÉCNICO NACIONAL INSTITUTO POLITÉCNICO NACIONAL CECyT No. 4 LÁZARO CÁRDENAS Ciclo Escolar 2011-2012 B Práctica No. 2 COMPUERTAS LÓGICAS RESULTADO DE APRENDIZAJE: Comprobar experimentalmente la operación de las compuertas

Más detalles

Práctica 04. Diodo zener

Práctica 04. Diodo zener 2011 MI. Mario Alfredo Ibarra Carrillo Facultad de ingeniería 11/03/2011 2 3 Objetivos: 1. Que el alumno estudie las propiedades y comportamientos del diodo zener. 2. Que el alumno implemente un circuito

Más detalles

Componentes indispensables Un (1) 74LS181 ALU Un (1) 74 LS 47 Un display 7seg CA

Componentes indispensables Un (1) 74LS181 ALU Un (1) 74 LS 47 Un display 7seg CA Universidad Simón Bolívar Departamento de Electrónica y Circuitos EC1723, Circuitos Digitales Trimestre Laboratorio - Práctica 2: Circuitos Combinatorios de Media Escala de Integración Objetivo: Familiarizarse

Más detalles

Ing. Jose Luis Apaza Gutierrez COMPUERTAS LÓGICAS

Ing. Jose Luis Apaza Gutierrez COMPUERTAS LÓGICAS LABORATORIO # 2 Realización: 06-09-2011 COMPUERTAS LÓGICAS 1. OBJETIVOS Los objetivos de este laboratorio es que Usted, aprenda a: Realizar circuitos lógicos sencillos con compuertas cuádruples y Hex.

Más detalles

Puertas lógicas NAND, NOR y OR exclusiva Práctica # 10

Puertas lógicas NAND, NOR y OR exclusiva Práctica # 10 Objetivos Puertas lógicas NAND, NOR y OR exclusiva Práctica # 10 Estudiar la operación y uso de las compuertas NAND y NOR Investigar la relación entre las entradas y las salidas de la puerta OR exclusiva

Más detalles

TRABAJO PRÁCTICO Nº 6 EL TRANSISTOR BIPOLAR CURVAS CARACTERÍSTICAS

TRABAJO PRÁCTICO Nº 6 EL TRANSISTOR BIPOLAR CURVAS CARACTERÍSTICAS 1) Introducción Teórica a) Generalidades TRABAJO PRÁCTICO Nº 6 EL TRANSISTOR BIPOLAR CURVAS CARACTERÍSTICAS El transistor bipolar es un dispositivo de tres terminales (emisor, base y colector), que, atendiendo

Más detalles

DISEÑO LÓGICO DISEÑO LÓGICO

DISEÑO LÓGICO DISEÑO LÓGICO DISEÑO LÓGICO RESOLUCIÓN DE PROBLEMAS ABIERTOS DE INGENIERÍA Habitualmente el Diseño Lógico se inserta en un proceso más amplio de la resolución de problemas abiertos de ingeniería. Podríamos especificar

Más detalles

Generación de funciones lógicas mediante multiplexores

Generación de funciones lógicas mediante multiplexores Generación de funciones lógicas mediante multiplexores Apellidos, nombre Martí Campoy, Antonio (amarti@disca.upv.es) Departamento Centro Informática de Sistemas y Computadores Universidad Politécnica de

Más detalles

PRÁCTICAS DE ELECTRÓNICA DIGITAL

PRÁCTICAS DE ELECTRÓNICA DIGITAL PRÁCTICAS DE ELECTRÓNICA DIGITAL Práctica 0: CONEXIÓN DE LOS CIRCUITOS INTEGRADOS (C.I.) 1º: Para que funcionen correctamente, han de estar conectados a una tensión de 5V. Para realizar esto, el polo (+)

Más detalles

Tema 5. SISTEMAS COMBINACIONALES. Tema 5. Sistemas combinacionales por Angel Redondo I.E.S Isaac Peral Torrejon de Ardoz 1

Tema 5. SISTEMAS COMBINACIONALES. Tema 5. Sistemas combinacionales por Angel Redondo I.E.S Isaac Peral Torrejon de Ardoz 1 Tema 5. SISTEMAS COMBINACIONALES Tema 5. Sistemas combinacionales por Angel Redondo I.E.S Isaac Peral Torrejon de Ardoz SISTEMAS COMBINACIONALES Sistemas combinacionales. Codificadores Decodificadores

Más detalles

Decodificadores y Demultiplexores. Pedro Fernández Ignacio de la Rosa

Decodificadores y Demultiplexores. Pedro Fernández Ignacio de la Rosa Decodificadores y Demultiplexores Pedro Fernández Ignacio de la Rosa Decodificadores El trabajo de un decodificador, es recibir como entradas códigos en binario (N bits) y activar una de las M salidas,

Más detalles

INSTITUTO POLITÉCNICO NACIONAL ESCUELA SUPERIOR DE INGENIERÍA MECÁNICA Y ELÉCTRICA INGENIERÍA EN COMUNICACIONES Y ELECTRÓNICA

INSTITUTO POLITÉCNICO NACIONAL ESCUELA SUPERIOR DE INGENIERÍA MECÁNICA Y ELÉCTRICA INGENIERÍA EN COMUNICACIONES Y ELECTRÓNICA ac INSTITUTO POLITÉCNICO NACIONAL ESCUELA SUPERIOR DE INGENIERÍA MECÁNICA Y ELÉCTRICA INGENIERÍA EN COMUNICACIONES Y ELECTRÓNICA PRÁCTICAS DE CIRCUITOS LÓGICOS LABORATORIO DE COMPUTACIÓN IV PRÁCTICA 9

Más detalles

DISEÑO Y SIMPLIFICACIÓN DE CIRCUITOS LÓGICOS

DISEÑO Y SIMPLIFICACIÓN DE CIRCUITOS LÓGICOS >PROGRAMA DE INGENIERIA DE SISTEMAS UNIVERSIDAD DEL QUINDÍO < 1 DISEÑO Y SIMPLIFICACIÓN DE CIRCUITOS LÓGICOS Cesar Velásquez Celis, Cristian Camilo Peña Guevara, Neidy Yised Carvajal Londoño. Programa

Más detalles

Formatos para prácticas de laboratorio

Formatos para prácticas de laboratorio CARRERA PLAN DE ESTUDIO CLAVE DE UNIDAD DE APRENDIZAJE NOMBRE DE LA UNIDAD DE APRENDIZAJE Ing. Electrónica 2009-2 11680 Diseño Digital PRÁCTICA No. 2 LABORATORIO DE NOMBRE DE LA PRÁCTICA Diseño Digital

Más detalles

TEMA 5. SISTEMAS COMBINACIONALES MSI. INTRODUCCIÓN

TEMA 5. SISTEMAS COMBINACIONALES MSI. INTRODUCCIÓN Circuitos Combinacionales MSI 1 TEMA 5. SISTEMAS COMBINACIONALES MSI. INTRODUCCIÓN Los sistemas combinacionales son aquellos en los que las salidas dependen exclusivamente de las entradas, luego para una

Más detalles

3. SECCIÓN DE MOTORES A PASOS

3. SECCIÓN DE MOTORES A PASOS 3. SECCIÓN DE MOTORES A PASOS En nuestros días los motores paso a paso tienen una amplia gama de aplicaciones; esto es debido a que poseen una gran precisión. Esta es la característica que fue determinante

Más detalles

INSTITUTO POLITÉCNICO NACIONAL ESCUELA SUPERIOR DE INGENIERÍA MECÁNICA Y ELÉCTRICA INGENIERÍA EN COMUNICACIONES Y ELECTRÓNICA

INSTITUTO POLITÉCNICO NACIONAL ESCUELA SUPERIOR DE INGENIERÍA MECÁNICA Y ELÉCTRICA INGENIERÍA EN COMUNICACIONES Y ELECTRÓNICA INSTITUTO POLITÉCNICO NACIONAL ESCUELA SUPERIOR DE INGENIERÍA MECÁNICA Y ELÉCTRICA INGENIERÍA EN COMUNICACIONES Y ELECTRÓNICA PRÁCTICAS DE CIRCUITOS LÓGICOS LABORATORIO DE COMPUTACIÓN IV PRÁCTICA 7 NOMBRE

Más detalles

TRABAJO PRÁCTICO Nº 3 RECTIFICADORES

TRABAJO PRÁCTICO Nº 3 RECTIFICADORES RABAJO PRÁCICO Nº 3 RECIFICADORES 1) Introducción eórica Las tensiones y corrientes en cd (corriente directa ó continua) sirven para alimentar a una gran variedad de dispositivos electrónicos. Dado que

Más detalles

PRÁCTICA 1 MIC Usando el simulador (digital). Compruebe la tabla de verdad para las compuertas lógicas básicas: NOT, OR, AND, NAND, NAND, XOR

PRÁCTICA 1 MIC Usando el simulador (digital). Compruebe la tabla de verdad para las compuertas lógicas básicas: NOT, OR, AND, NAND, NAND, XOR UNIVERSIDAD DE MAGALLANES FACULTAD DE INGENIERÍA DEPARTAMENTO DE COMPUTACIÓN Profesor: Eduardo Peña Jaramillo. Ayudante:. PRÁCTICA MIC38 Nombre de la práctica: Compuertas Lógicas Básicas y sus Tablas de

Más detalles

ELECTRONICA. Las resistencias, tanto en electricidad como en electrónica, se pueden representar de dos formas, ambas igualmente válidas:

ELECTRONICA. Las resistencias, tanto en electricidad como en electrónica, se pueden representar de dos formas, ambas igualmente válidas: Diferencia entre electricidad y electrónica. ELECTRONICA La electricidad trabaja con conductores y la electrónica con semiconductores que tienen unas propiedades diferentes. La electrónica ha permitido

Más detalles

Universidad Nacional Autónoma de México. Facultad de Ingeniería TRABAJO DE MINIPROYECTOS

Universidad Nacional Autónoma de México. Facultad de Ingeniería TRABAJO DE MINIPROYECTOS Universidad Nacional Autónoma de México Facultad de Ingeniería Diseño de Sistemas Digitales TRABAJO DE MINIPROYECTOS Alumnos: Cruz Sandoval Laura Janet Flores Delgado Martha Brenda Osorio García Omar Sánchez

Más detalles

Formato para prácticas de laboratorio

Formato para prácticas de laboratorio CARRERA Ingeniero en Computación PRÁCTICA No. 4 PLAN DE ESTUDIO LABORATORIO DE NOMBRE DE LA PRÁCTICA 1 INTRODUCCIÓN CLAVE ASIGNATURA NOMBRE DE LA ASIGNATURA 2003-1 5039 Mediciones Eléctricas y Electrónicas

Más detalles

Unidad de Promoción y Desarrollo Guadiana OBJETIVO GENERAL

Unidad de Promoción y Desarrollo Guadiana OBJETIVO GENERAL Unidad de Promoción y Desarrollo Guadiana OBJETIVO GENERAL Conocer los elementos básicos de un ordenador, identificar sus elementos principales, diferenciando aquellos que forman parte de su hardware y

Más detalles

ACTIVIDAD: CONSTRUCCIÓN DE UN CIRCUITO EN SERIE Y UNO EN PARALELO

ACTIVIDAD: CONSTRUCCIÓN DE UN CIRCUITO EN SERIE Y UNO EN PARALELO Preparatoria Esmeralda Calificación: ENERGÍA Y MOVIMIENTO Ing. Caribay Godoy Rangel Apegándome al Código de Ética de los Estudiantes del Tecnológico de Monterrey, me comprometo a que mi actuación en este

Más detalles

PRÁCTICA 5. CIRCUITOS CONTADORES SÍNCRONOS

PRÁCTICA 5. CIRCUITOS CONTADORES SÍNCRONOS PRÁCTICA 5. CIRCUITOS CONTADORES SÍNCRONOS 1. Objetivo El objetivo de esta práctica es estudiar el funcionamiento de los contadores síncronos construidos a partir de biestables, y aprender cómo se pueden

Más detalles

DISEÑO LOGICO CON DISPOSITIVOS LOGICOS PROGRAMABLES (PLD S) ING. LUIS F. LAPHAM CARDENAS PROFESOR INVESTIGADOR DIVISION DE ELECTRONICA C.E.T.I.

DISEÑO LOGICO CON DISPOSITIVOS LOGICOS PROGRAMABLES (PLD S) ING. LUIS F. LAPHAM CARDENAS PROFESOR INVESTIGADOR DIVISION DE ELECTRONICA C.E.T.I. DISEÑO LOGICO CON DISPOSITIVOS LOGICOS PROGRAMABLES (PLD S) ING. LUIS F. LAPHAM CARDENAS PROFESOR INVESTIGADOR DIVISION DE ELECTRONICA C.E.T.I. RESUMEN En este artículo intentamos mostrar el cambio dramático

Más detalles

INSTITUTO POLITÉCNICO NACIONAL ESCUELA SUPERIOR DE INGENIERIA MECANICA Y ELECTRICA INGENIERIA EN COMUNICACIONES Y ELECTRÓNICA ACADEMIA DE COMPUTACIÓN

INSTITUTO POLITÉCNICO NACIONAL ESCUELA SUPERIOR DE INGENIERIA MECANICA Y ELECTRICA INGENIERIA EN COMUNICACIONES Y ELECTRÓNICA ACADEMIA DE COMPUTACIÓN INSTITUTO POLITÉCNICO NACIONAL ESCUELA SUPERIOR DE INGENIERIA MECANICA Y ELECTRICA UNIDAD CULHUACAN INGENIERIA EN COMUNICACIONES Y ELECTRÓNICA ACADEMIA DE COMPUTACIÓN LABORATORIO DE CIRCUITOS DIGITALES

Más detalles

Tema: Facultad de Ingeniería. Escuela de Eléctrica. Asignatura Control Industrial.

Tema: Facultad de Ingeniería. Escuela de Eléctrica. Asignatura Control Industrial. Tema: CONTROL DE VELOCIDAD E INVERSIÓN DE MOTOR NEUMATICO. I. OBJETIVOS. Facultad de Ingeniería. Escuela de Eléctrica. Asignatura Control Industrial. Adquirir la habilidad para diseñar circuitos neumáticos

Más detalles

MATRIZ DE VALORACIÓN O RÚBRICA. Actividad de evaluación:

MATRIZ DE VALORACIÓN O RÚBRICA. Actividad de evaluación: 10. Matriz de valoración ó rúbrica MATRIZ DE VALORACIÓN O RÚBRICA Siglema: OPCI módulo: Operación de circuitos electrónicos digitales alumno: Docente evaluador: Resultado de aprendizaje: 1.2 Opera circuitos

Más detalles

Operadores lógicos con dispositivos de función fija TTL

Operadores lógicos con dispositivos de función fija TTL Práctica 2 Operadores lógicos con dispositivos de función fija TTL Objetivos particulares Durante el desarrollo de esta práctica el estudiante asociará el símbolo, con la expresión matemática y la tabla

Más detalles

Centro de Nanociencias y Nanotecnología Licenciatura en Nanotecnología

Centro de Nanociencias y Nanotecnología Licenciatura en Nanotecnología PROGRAMA DE ASIGNATURA 3 CLAVE DENOMINACIÓN DE LA ASIGNATURA SEMESTRE CIRCUITOS ELÉCTRICOS MODALIDAD CARÁCTER HORAS CURSO, LABORATORIO ETÁPA TIPO ÁREA DE CONOCIMIENTO SEMESTR E HORAS/SEMANA TEÓRICAS PRÁCTICAS

Más detalles

Semestre 2015-2 LABORATORIO DE DISPOSITIVOS DE ALMACENAMIENTO Y DISPOSITIVOS DE ENTRADA - SALIDA

Semestre 2015-2 LABORATORIO DE DISPOSITIVOS DE ALMACENAMIENTO Y DISPOSITIVOS DE ENTRADA - SALIDA Semestre 2015-2 LABORATORIO DE DISPOSITIVOS DE ALMACENAMIENTO Y DISPOSITIVOS DE ENTRADA - SALIDA PREVIO 3 MEMORIAS DE LECTURA ESCRITURA SEMICONDUCTORAS, RAM`s 1- Explique cuantos tipos de memoria RAM existen

Más detalles

GUIA DE COMPONENTE PRACTICO

GUIA DE COMPONENTE PRACTICO GUIA DE COMPONENTE PRACTICO Con el propósito de fomentar el desarrollo de habilidades en el diseño e implementación física de circuitos digitales, se ha diseñado un componente práctico que será desarrollado

Más detalles

ELECTRICIDAD ELECTRONES. MATERIALES CONDUCTORES Y AISLANTES.

ELECTRICIDAD ELECTRONES. MATERIALES CONDUCTORES Y AISLANTES. ELECTRICIDAD ELECTRONES. MATERIALES CONDUCTORES Y AISLANTES. Los fenómenos eléctricos son provocados por unas partículas extremadamente pequeñas denominadas electrones. Estas partículas forman parte de

Más detalles

INTRODUCCION A PLC. Autor: Angel M Alicea, PE

INTRODUCCION A PLC. Autor: Angel M Alicea, PE INTRODUCCION A PLC Autor: Angel M Alicea, PE Controles de Lógica Programable Prof. Egberto Hernández EX#1-Repaso PLC Parte #2 Prof.ehernandez@hotmail.com www.profehernandez.weebly.com Conversión de Puertas

Más detalles

Tema: USO DE MEMORIAS RAM Y ROM

Tema: USO DE MEMORIAS RAM Y ROM Sistemas Digitales. Guía 10 1 Facultad: Ingeniería Escuela: Electrónica Asignatura: Sistemas digitales Lugar de Ejecución: Fundamentos Generales. Edificio 3. Tema: USO DE MEMORIAS RAM Y ROM Objetivo general

Más detalles

Sistemas Digitales. Guía 03 UNIVERSIDAD DON BOSCO FACULTAD DE ESTUDIOS TECNOLÓGICOS ESCUELA DE ELECTRONICA. I. Objetivos. II. Introducción Teórica

Sistemas Digitales. Guía 03 UNIVERSIDAD DON BOSCO FACULTAD DE ESTUDIOS TECNOLÓGICOS ESCUELA DE ELECTRONICA. I. Objetivos. II. Introducción Teórica UNIVERSIDAD DON BOSCO FACULTAD DE ESTUDIOS TECNOLÓGICOS ESCUELA DE ELECTRONICA CICLO: 01-2013 Guía de laboratorio Nº3 Nombre de la práctica: Compuertas Lógicas Lugar de ejecución: Laboratorio de electrónica

Más detalles

INGENIERIA ELECTRÓNICA

INGENIERIA ELECTRÓNICA COM PUT DORES INGENIERI ELECTRÓNIC SIGNTUR: ELECTRÓNIC DIGITL I Profesor: LUIS CRLOS LSPRILL TOVR Facultad de Ingeniería Electrónica CIRCUITOS INTEGRDOS TRNSISTORES DIODOS FLYCKS Laboratorio * Ingenieria

Más detalles

DIODOS Y TRANSISTORES.

DIODOS Y TRANSISTORES. INSTITUTO TECNOLÓGICO DE MORELIA Práctica. 2.0.0. DIODOS Y TRANSISTORES. Características del Transistor BJT. Cliente: Ingeniería Electrónica. Autor: Ing. Miguel.Angel Mendoza Mendoza. 26 de Agosto del

Más detalles

DISPOSITIVOS ELÉCTRICOS DE CONTROL

DISPOSITIVOS ELÉCTRICOS DE CONTROL Unidad 1 DISPOSITIVOS ELÉCTRICOS DE CONTROL Objetivo: Interpretar los diagramas de control para sistemas electromecánicos. Contenido: 1.1 Introducción a los sistemas de control. 1.2 Simbología normalizada

Más detalles

FACULTAD DE INGENIERÍA MECANICA Y ELECTRICA ESCUELA DE ING. ELECTRONICA

FACULTAD DE INGENIERÍA MECANICA Y ELECTRICA ESCUELA DE ING. ELECTRONICA AÑO DE LA INTEGRACION NACIONAL Y EL RECONOCIMIENTO DE NUESTRA DIVERSIDAD FACULTAD DE INGENIERÍA MECANICA Y ELECTRICA ESCUELA DE ING. ELECTRONICA TEMA: CIRCUITOS INTEGRADOS CURSO DOCENTE CICLO ALUMNO :

Más detalles

Sistema de Medición de Temperatura Manipulado

Sistema de Medición de Temperatura Manipulado Universidad de San Carlos de Guatemala Facultad de Ingeniería Escuela de Mecánica Eléctrica Laboratorio de Electrónica Practica Final Microcontroladores Sistema de Medición de Temperatura Manipulado Objetivos

Más detalles

Práctica 4.- Característica del diodo Zener

Práctica 4.- Característica del diodo Zener A.- Objetivos Práctica 4.- Característica del diodo ener Laboratorio de Electrónica de Dispositivos 1.-Medir los efectos de la polarización directa e inversa en la corriente por el diodo zener. 2.-Determinar

Más detalles

k k N b Sistemas Númericos Sistemas con Notación Posicional (1) Sistemas con Notación Posicional (2) Sistemas Decimal

k k N b Sistemas Númericos Sistemas con Notación Posicional (1) Sistemas con Notación Posicional (2) Sistemas Decimal Sistemas con Notación Posicional (1) Sistemas Númericos N b = a n-1 *b n-1 + a n-2 *b n-2 +... + a 0 *b 0 +a -1 *b - 1 + a -2 *b -2 +... + a -m *b -m Sistemas con Notación Posicional (2) N b : Número en

Más detalles

Otros circuitos digitales. Actividad de apertura. Circuitos lógicos secuenciales.

Otros circuitos digitales. Actividad de apertura. Circuitos lógicos secuenciales. Otros circuitos digitales En esta unidad aprenderás: El funcionamiento de los codificadores y decodificadores Multiplexor y Demultiplexor Convertidor Digital-Análogo y Análogo-Digital UNIDAD 4 Actividad

Más detalles

Tema 4. Estructura de un ordenador elemental

Tema 4. Estructura de un ordenador elemental Tema 4. Estructura de un ordenador elemental 4.1. Codicación interna de la información 4.2. Estructura funcional de un ordenador Arquitectura von Neumann Unidades funcionales Conexiones entre unidades

Más detalles

:: Electrónica Básica - Transistores en Circ. de Conmutación TRANSISTORES EN CIRCUITOS DE CONMUTACIÓN

:: Electrónica Básica - Transistores en Circ. de Conmutación TRANSISTORES EN CIRCUITOS DE CONMUTACIÓN Http://perso.wanadoo.es/luis_ju San Salvador de Jujuy República Argentina :: Electrónica Básica - Transistores en Circ. de Conmutación TRANSISTORES EN CIRCUITOS DE CONMUTACIÓN Muchas veces se presenta

Más detalles

ELECTRÓNICA. 1. Qué es la electrónica? 2. Componentes electrónicos Pasivos

ELECTRÓNICA. 1. Qué es la electrónica? 2. Componentes electrónicos Pasivos ELECTRÓNICA 1. Qué es la electrónica? Es el campo de la ingeniería y de la física que estudia el diseño de circuitos que permiten generar, modificar o tratar una señal eléctrica (circuitos electrónicos).

Más detalles

Diseño combinacional (Parte #2) Mapas de Karnaugh

Diseño combinacional (Parte #2) Mapas de Karnaugh Departamento de Electrónica Electrónica Digital Diseño combinacional (Parte #2) Mapas de Karnaugh Facultad de Ingeniería Bioingeniería Universidad Nacional de Entre Ríos Procedimiento de diseño de un circuito

Más detalles

CYNTHIA PATRICIA GUERRERO SAUCEDO

CYNTHIA PATRICIA GUERRERO SAUCEDO Manual de prácticas Prueba Circuitos Electrónicos Digitales Para Sistemas de Control Tabla de contenido Practica #1 Las Compuertas Lógicas...3 Practica #2 Circuitos Lógicos Combinacionales...6 Practica

Más detalles

IDENTIFICACIÓN DE LA PRÁCTICA

IDENTIFICACIÓN DE LA PRÁCTICA IDENTIFICACIÓN DE LA PRÁCTICA Carrera: Tgo. en Informática y Computación Academia: Sistemas Digitales Plantel: Colomos Materia: Electrónica Digital I Clave: 215A01 Revisión: A Unidad: 4. Componentes lógicos

Más detalles

Práctica 3 - Sensores Digitales y Análogos

Práctica 3 - Sensores Digitales y Análogos Interfaces para Sensores Práctica 3 - Sensores Digitales y Análogos El Handy Board cuenta con dos bancos para sensores: Aquí se muestran las entradas análogas, numeradas del 0 al 6 (de derecha a izquierda).

Más detalles

Electrónica 5 EM ITS Lorenzo Massa Pagina 1 Unidad 6 - Ing. Juan Jesús Luna

Electrónica 5 EM ITS Lorenzo Massa Pagina 1 Unidad 6 - Ing. Juan Jesús Luna Electrónica 5 EM ITS Lorenzo Massa Pagina 1 Unidad 6: Amplificadores Operacionales 1 Introducción: El amplificador operacional (en adelante, op-amp) es un tipo de circuito integrado que se usa en un sinfín

Más detalles

Tema 1 Sistemas de numeración

Tema 1 Sistemas de numeración Tema 1 Sistemas de numeración 1.1 - Sistema de numeración BINARIO En el sistema binario de numeración existen solamente dos símbolos distintos: el 0 y el 1. A primera vista puede resultar una forma de

Más detalles

Facultad de Ingeniería Eléctrica

Facultad de Ingeniería Eléctrica Facultad de Ingeniería Eléctrica Laboratorio de Electrónica Ing. Luís García Reyes Práctica Número 10 Demultiplexores Materia: Laboratorio de Electrónica Digital I Objetivo: Comprobación del funcionamiento

Más detalles

1. Bloques. Sistema. 2. Líneas. 3. Punto de suma. 4. Punto de ramificación o de reparto

1. Bloques. Sistema. 2. Líneas. 3. Punto de suma. 4. Punto de ramificación o de reparto ema 4. Diagramas de Bloque Introducción ERÍA DE NRL n diagrama de bloque es una simplificación, una representación gráfica de un sistema físico que ilustra las relaciones funcionales entre los componentes

Más detalles

INSTRUCTOR: Manuel Eduardo López

INSTRUCTOR: Manuel Eduardo López INSTRUCTOR: Manuel Eduardo López RESULTADOS EN BRUTO MEDICIÓN DE VOLTAJE PARTES I. USO DE ESCALAS DEL VOLTÍMETRO Se identifica la terminal (+) y (-) del medidor y se conecta a la fuente de alimentación,

Más detalles

Existen distintas formas de representar una función lógica, entre las que podemos destacar las siguientes:

Existen distintas formas de representar una función lógica, entre las que podemos destacar las siguientes: Función booleana Se denomina función lógica o booleana a aquella función matemática cuyas variables son binarias y están unidas mediante los operadores del álgebra de Boole suma lógica (+), producto lógico

Más detalles

LEY DE OHM EXPERIMENTO 1. CIRCUITOS, TARJETAS DE EXPERIMENTACIÓN

LEY DE OHM EXPERIMENTO 1. CIRCUITOS, TARJETAS DE EXPERIMENTACIÓN LEY DE OHM EXPERIMENTO 1. CIRCUITOS, TARJETAS DE EXPERIMENTACIÓN Objetivos. Estudiar y familiarizarse con el tablero de conexiones (Protoboard ) y la circuitería experimental. Aprender a construir circuitos

Más detalles