Prácticas de Laboratorio de Diseño Digital

Tamaño: px
Comenzar la demostración a partir de la página:

Download "Prácticas de Laboratorio de Diseño Digital"

Transcripción

1 Prácticas de Laboratorio de Diseño Digital Socorro Guevara M.I. GUEVARA RODRIGUEZ

2 Ubicación de la asignatura en el plan de estudios. Objetivos de la asignatura. El alumno diseñará sistemas digitales combinacionales y secuenciales con circuitos integrados.

3 Metodología de trabajo durante el curso. Prácticas; cada clase deben presentarse la práctica correspondiente impresa, con el previo correspondiente resuelto. o o o Previos: Obligatorio para realizar las prácticas (resuelto a mano). Material de laboratorio (cables necesarios para el armado, proto-board, y componentes necesarios para la realización de la práctica) Sin previo o sin todo el material No se tomará en cuenta la realización de la práctica. El previo solo tiene valides a la entrega de la práctica implementada. Se deben entregar diagramas electrónicos lógicos donde sean pedidos (no de bloques o de alambrado). Solo se aceptan fotos o impresiones de las hojas de especificaciones, de los componentes utilizados en cada práctica. Desarrollo de las prácticas. Simulación del diseño del previo correspondiente. Implementación del previo correspondiente. Revisión del correcto funcionamiento del desarrollo de la práctica. Reporte de la práctica: una vez revisada la práctica se debe entregar un reporte de esta, el cual debe incluir: Tema Objetivo Introducción Informe del desarrollo de la práctica Resultados, conclusiones, comentarios. Se aceptan fotos e impresiones de los datos que consideres necesarios sobre el desarrollo de la práctica. Más de 2 faltas=examen Final. Tolerancia para retardo de 15 minutos. 2 retardos = 1 Falta Las practicas se deben desarrollar en tiempo, forma y en horario de clase. ESTÁ PROHIBIDO EL USO DE CÁMARAS FOTOGRÁFICAS O DE VIDEO EN CUALQUIER FORMA, PRESENTACIÓN O FORMATO ASÍ TAMBIÉN, ESTÁ PROHIBIDO EL USO DE TELÉFONOS CELULARES DENTRO DEL SALÓN DE CLASE. (si se sorprende utilizando el celular o sacando fotos, se le pedirá se retire de clase teniendo falta esa clase)

4 Forma de evaluar el curso. Calificación de cada práctica: 20% Previo 50% Implementación de la práctica funcionando en su totalidad. 30% Reporte de la práctica Prácticas entregadas en tiempo y forma la calificación es sobre 10. (Solo se revisarán prácticas atrasadas con asistencia en clase de la misma práctica) Prácticas entregadas en los siguientes 4 días hábiles la calificación es sobre 9. Prácticas entregadas del 5º al 8º día hábil la calificación es de 8. El horario para revisión de prácticas atrasadas lunes a viernes de 10:00 a 12:00 hrs. En el Laboratorio Abierto. Al inicio de clase (en los 10 primeros minutos de tolerancia) se podrá revisar prácticas atrasadas (solo para alumnos que trabajan o no pueden asistir al laboratorio Abierto) Calificación Final: 100% Promedio de las prácticas realizadas en el semestre. Calificación Final 7.7 para exentar el Examen Final. Examen Final: Se realizará un examen Teórico y práctico = Calificación Final. Tu calificación acreditada mínimo con 8 la puedes revalidar (Si no acreditas Teoría) Tabulador de la Calificación Final 6 a 6.6 = a 7.6 = a 8.6 = a 9.3 = a 10 = 10 Sistemas Digitales Principio y aplicaciones, 11ª Edición Autor Neal Ronald Tocci Pearson Fundamentos de Sistemas Digitales Autor Thomas L. Floyd Editorial Pearson HARRIS, David Digital Design and Computer Architecture 2nd edition Waltham Morgan Kaufmann, 2012 Bibliografía. UYEMURA, John P. Diseño de sistemas digitales: Un enfoque integrado México Thomson, 2000 WAKERLY, John F. Digital Design principles & practices 4th edition Upper Saddle River Prentice Hall, 2005 ROTH, Jr. Charles H. Fundamentals of Logic Design Todos 6th edition Lubbock CL Engineering, 2009

5 Práctica 1 Manejo de equipo, técnicas básicas de alambrado 1.- Objetivo general. El alumno conocerá el panorama general de los sistemas digitales y su ubicación dentro de la tecnología, así como los principios en los que se sustentan y sus aplicaciones. 2. Objetivos de la práctica. Analizar, diseñar, simular e implementar las principales características de las compuertas lógicas y la tecnología TTL. Compuertas necesarias para realizar la práctica (74LS08, 74LS32, 74LS04, 74LS86, 74LS266, 74LS02, 74LS00), Transistores tipo BC547 (NPN), Resistencias Varias según la Práctica (10KΩ, 4.7 KΩ 330 Ω a 1/4watts), Led s, Protoboard, Puntas para multímetro (2 Cables Banana-caimán), Alambre tipo Pot calibre 22 (Jumpers). 1. Realizar una tabla en donde presentes una numeración del 0 al 15 en código Decimal, Binario, BCD, siete segmentos y Hexadecimal. 2. Investigar la tabla de verdad, operación lógica que realiza, símbolo que lo que representa, el número al que corresponde en el manual de la familia TTL y de las hojas de especificaciones la Distribución de Pines (como se presenta el dibujo de compuertas 4.1 y 4.2) de los seis tipos de compuertas, el inversor y el transistor BC547 (Realiza una tabla con toda la información). 3. Cuáles son los niveles lógicos de entrada y salida en la familia TTL y de los dispositivos lógicos programables? 4. Investigar que es una compuerta de Colector Abierto, como se utilizan y como se puede identificar. (En el trabajo de laboratorio de esta práctica se utiliza una compuerta de este tipo, identifica cuál es?, y adicionarle lo necesario para comprobar su tabla de verdad) 5. Investigar el código de colores de las resistencias. 6. Investigar que significa que un transistor TBJ este en corte, saturación y describir claramente cómo se polariza este transistor para que trabaje en esas dos regiones. 7. Simula todos los circuitos de la práctica y obtén los resultados pedidos. 8. Arma los circuitos de la practica en tu tarjeta de proyectos para caracterizar en el laboratorio. 5. Trabajo de Laboratorio Caracteriza en el laboratorio los siguientes circuitos. Con la ayuda del multímetro verificar de qué compuerta se trata, realizar su correspondiente tabla de verdad.

6 4.2.- Del circuito 7400 elegir una de las 4 compuertas y revisar la tabla de verdad, visualizar la salida con la ayuda del multímetro y también con un led. (No tomar las mediciones al mismo tiempo) Del circuito 7402 elegir una de las 4 compuertas y revisar la tabla de verdad, visualizar la salida con la ayuda del multímetro y también con un led. (No tomar las mediciones al mismo tiempo) 4.4 Utilizar la misma mecánica del inciso 4.3 completar la siguiente tabla utilizar solo LED en la salida de cada compuerta. ENTRADAS A B OUT OUT OUT OUT OUT NC 0 1 NC Nota: NC=No se conecta la terminal. Explica cómo se comporta una compuerta en su salida cuando alguna o todas sus entradas No se Conectan (NC). Concluir generalizando qué pasa con las compuertas de colector abierto? Y como utilizarlas. Analiza y compara los resultados experimentales obtenidos con la finalidad de generar de carácter obligatorio tus propias conclusiones, haciendo énfasis en el objetivo planteado al Notas técnicas en apéndice A 1.1

7 Práctica 2 Compuertas Básicas en Modo Gráfico El alumno analizará las principales características de las compuertas lógicas. Analizar, diseñar, simular e implementar las características de las compuertas básicas utilizando el modo gráfico en la plataforma de Quartus II. Software Quartus II instalado. Tarjeta de desarrollo CPLD C-M240, MAXII de Altera (EPM240100C5N). 1. Investigar las especificaciones de la tarjeta CPLD C-M240, MAXII de Altera (EPM240100C5N). 2. Hojas de especificaciones de los circuitos utilizados en la práctica La tabla realizada en el previo de la práctica 1 en donde describes la tabla de verdad, operación lógica que realiza, símbolo que lo representa, el número al que corresponde en el manual de la familia TTL. 5. Trabajo de Laboratorio El alumno implementará en Quartus II, utilizando en modo gráfico las compuertas básicas. El alumno simulará su proyecto, analizará los resultados que entrega el simulador. El alumno realizará los cambios necesarios para descargará su proyecto en la tarjeta de desarrollo CPLD C-M240, MAXII de Altera (EPM240100C5N). El alumno realizará un comparativo de los resultados obtenidos. Analiza y compara los resultados teóricos, simulados y experimentales obtenidos con la finalidad de generar de carácter obligatorio tus propias conclusiones, haciendo énfasis en el objetivo planteado al

8 Práctica 3 Funciones Lógicas El alumno analizará las matemáticas lógicas que sustentan al diseño digital y representará las operaciones lógicas con compuertas. Analizar, diseñar, simular e implementar funciones algebraicas por medio de la minimización Booleana, utilizando compuertas básicas. Software Quartus II instalado,tarjeta de desarrollo CPLD C-M240, MAXII de Altera (EPM240100C5N), Protoboard, 2 CI (circuito integrados) 7408, 1 CI. 7432, 1 CI. 7404, 1 Dip-Switch de 4 líneas, 4 resistencias de 1KΩ, cables o jumpers para conexiones, 2 Leds de cualquier tamaño y color, 2 resistencias de 330Ω. Investigar que es algebra Booleana y simplificación lógica. Minimizar con algebra Booleana la función X 1, verificar el resultado de la minimización máxima es X 2. _ X 1(a, b, c, d) = [a b (c + b d) + a b] c _ X 2 (a, b, c, d) = b c obtén en un solo diagrama lógico las funciones X 1 y X 2. Realizar la tabla de verdad que representa la función X 1 y X 2 recordar que son equivalentes las dos funciones, por lo que es la misma tabla de verdad. Expresar la función X 1 y X 2 en suma de productos canónica extendida. Expresar la función X 1 y X 2 en producto de sumas canónica extendida. Explica porque en la función X 2 se eliminan 2 variables (a y d). Simula en la plataforma de Quartus en modo gráfico las dos funciones (X1 y X2) Una vez verificados los resultados correctos en la simulación arma en tu tableta de prototipos tus diseños para caracterizar en el laboratorio. 5. Trabajo de Laboratorio Caracteriza los circuitos de tu previo, utilizando el equipo de instrumentación del laboratorio y la tarjeta CPLD C-M240, MAXII de Altera (EPM240100C5N) obteniendo los valores prácticos. Analiza y compara los resultados teóricos, simulados y experimentales obtenidos con la finalidad de generar de carácter obligatorio tus propias conclusiones, haciendo énfasis en el objetivo planteado al Notas técnicas en apéndice A 3.1

9 Práctica 4 Circuito elevador de Bits al cuadrado El alumno diseñará circuitos combinacionales. Analizar, diseñar, simular e implementar multifunciones algebraicas utilizando distintas formas de optimización. Software Quartus II instalado. Tarjeta de desarrollo CPLD C-M240, MAXII de Altera (EPM240100C5N). Investiga las secciones principales de un proyecto en lenguaje VHDL. Investiga los tipos de variables de entrada y salida que se pueden utilizar en un proyecto VHDL. Diseñar un circuito que eleve un número de 3 bit s al cuadrado, utilizando baja escala de integración. (Solo Compuertas) Obtener las seis funciones de salida que representan el sistema minimizando por cualquier método. Obtener en un solo diagrama lógico las seis funciones mínimas obtenidas. Simula en la plataforma de Quartus el diagrama implementado en modo gráfico de las seis funciones. Una vez verificados los resultados correctos en la simulación prepara tu diseño para descargarlo en la tarjeta CPLD C-M240, MAXII de Altera (EPM240100C5N) para caracterizar en el laboratorio. 5. Trabajo de Laboratorio. Caracteriza los circuitos de tu previo, utilizando el equipo de instrumentación del laboratorio y la tarjeta CPLD C-M240, MAXII de Altera (EPM240100C5N) obteniendo los valores prácticos. Analiza y compara los resultados teóricos, simulados y experimentales obtenidos con la finalidad de generar de carácter obligatorio tus propias conclusiones, haciendo énfasis en el objetivo planteado al Notas técnicas en apéndice A 4.1

10 Práctica 5 Minimización de funciones por mapas de Karnahugh El alumno diseñará circuitos combinacionales. Analizar, diseñar, simular e implementar multifunciones, con funciones no especificadas, optimizando las funciones por medio de mapas de karnahugh. Software Quartus II instalado. Tarjeta de desarrollo CPLD C-M240, MAXII de Altera (EPM240100C5N). Diseñar un circuito que la entrada sea la cuenta del 0 a 8 (un número de 4 bit s) y la salida despliegue tu número de cuenta en un display de 7 segmentos arquitectura LSI (Baja Escala de Integración, compuertas). Ejemplo en las notas siguientes. Obtener las siete funciones mínimas de salida que representan el sistema utilizando mapas de Karnahugh. Los números de entrada que faltan utiliza funciones no especificadas (*). Obtener en un solo diagrama lógico las 7 funciones. Investiga cómo implementar el diagrama lógico obtenido en VHDL utilizando el método de flujo de datos. Simula en la plataforma de Quartus tus diagramas implementados en modo flujo de datos utilizando lenguaje VHDL de las seis funciones. Una vez verificados los resultados correctos en la simulación prepara tu diseño para descargarlo en la tarjeta CPLD C-M240, MAXII de Altera (EPM240100C5N) para caracterizar en el laboratorio. La tabla está dada de la siguiente forma: Entradas Dip-Switch A B C D 5. Trabajo de Laboratorio. Salidas Led s a b c d e f g Caracteriza los circuitos de tu previo, utilizando el equipo de instrumentación del laboratorio y la tarjeta CPLD C-M240, MAXII de Altera (EPM240100C5N) obteniendo los valores prácticos. Analiza y compara los resultados teóricos, simulados y experimentales obtenidos con la finalidad de generar de carácter obligatorio tus propias conclusiones, haciendo énfasis en el objetivo planteado al Notas técnicas en apéndice A 5.1

11 Práctica 6 Sumador Binario El alumno diseñará circuitos combinacionales (mediana escala de integración). Analizar, diseñar, simular e implementar un Sumador binario utilizando medio sumador y sumador completo. Software Quartus II instalado. Tarjeta de desarrollo CPLD C-M240, MAXII de Altera (EPM240100C5N). Diseñar un sumador de dos palabras. Palabra A de tres bits y palabra B de dos bits. A 2 A 1 A 0 X B 2 B 1 B 0 Diseñar el diagrama lógico del sumador utilizando sumador completo (Full Adder; FA) y Medio Sumador (Half Adder; HA). Él HA y FA debe estar construido solo con compuertas básicas y XOR. Implementa él HA y el FA en lenguaje VHDL en modo flujo de datos y hazlos símbolo. Simula el sumador implementado en modo grafico utilizando los HA y el FA necesarios. Una vez verificados los resultados correctos en la simulación prepara tu diseño para descargarlo en la tarjeta CPLD C-M240, MAXII de Altera (EPM240100C5N) para caracterizar en el laboratorio. (utiliza dip-switch externos para las entradas faltantes) 5. Trabajo de Laboratorio. Caracteriza los circuitos de tu previo, utilizando el equipo de instrumentación del laboratorio y la tarjeta CPLD C-M240, MAXII de Altera (EPM240100C5N) obteniendo los valores prácticos. Analiza y compara los resultados teóricos, simulados y experimentales obtenidos con la finalidad de generar de carácter obligatorio tus propias conclusiones, haciendo énfasis en el objetivo planteado al

12 Práctica 7 Multiplicador Binario El alumno diseñará circuitos combinacionales (mediana escala de integración). Analizar, diseñar, simular e implementar un multiplicador binario utilizando medio sumador y sumador completo. Software Quartus II instalado. Tarjeta de desarrollo CPLD C-M240, MAXII de Altera (EPM240100C5N). Diseñar un multiplicador de dos palabras, cada palabra es de tres bits. Diseñar el diagrama lógico del multiplicador utilizando FA y HA identificándolos claramente. Implementa las funciones en VHDL en flujo de datos. Simula el multiplicador (fija A=7 varia toda la palabra B y fija B=7 y varia toda la palabra A) Una vez verificados los resultados correctos en la simulación prepara tu diseño para descargarlo en la tarjeta CPLD C-M240, MAXII de Altera (EPM240100C5N) para caracterizar en el laboratorio. (utiliza dip-switch externos para las entradas faltantes) 5. Trabajo de Laboratorio. Caracteriza los circuitos de tu previo, utilizando el equipo de instrumentación del laboratorio y la tarjeta CPLD C-M240, MAXII de Altera (EPM240100C5N) obteniendo los valores prácticos. Analiza y compara los resultados teóricos, simulados y experimentales obtenidos con la finalidad de generar de carácter obligatorio tus propias conclusiones, haciendo énfasis en el objetivo planteado al

13 Práctica 8 Decodificador utilizando Mediana Escala de Integración El alumno diseñará circuitos combinacionales. Analizar, diseñar, simular e implementar decodificadores en mediana escala de integración. Software Quartus II instalado. Tarjeta de desarrollo CPLD C-M240, MAXII de Altera (EPM240100C5N). Diseña un decodificador de 3 a 8, salidas activas en cero (0) en lenguaje VHDL (encuentra las funciones que representan el decodificador en asignación concurrente), conviértelo en símbolo y con ayuda de compuertas básicas, implementa las siguientes cuatro funciones en modo gráfico, considera F1 como el Bit LSB (menos significativo), F4 como el Bit MSB (más significativo). F1 (A,B,C,D)= m(0,2,5,9,10,12,14) F2 (A,B,C,D)=πM(0,4,5,6,11,12,15) F3 (A,B,C,D)= m (0,1,2,9,10,15) F4 (A,B,C,D)= πm (3,4,5,8,10) Simula tu diseño que implementaste. Diseña un decodificador de BCD a 7 segmentos en lenguaje VHDL (Por medio de una tabla en forma selectiva utilizando with; select). Este decodificador es para visualizar las funciones en el display. Una vez verificados los resultados correctos en la simulación prepara tu diseño para descargarlo en la tarjeta CPLD C-M240, MAXII de Altera (EPM240100C5N) para caracterizar en el laboratorio. 5. Trabajo de Laboratorio. Caracteriza los circuitos de tu previo, utilizando el equipo de instrumentación del laboratorio y la tarjeta CPLD C-M240, MAXII de Altera (EPM240100C5N) obteniendo los valores prácticos. Analiza y compara los resultados teóricos, simulados y experimentales obtenidos con la finalidad de generar de carácter obligatorio tus propias conclusiones, haciendo énfasis en el objetivo planteado al

14 Práctica 9 MULTIPLEXOR El alumno diseñará circuitos combinacionales. Analizar, diseñar, simular e implementar funciones utilizando multiplexores. Software Quartus II instalado. Tarjeta de desarrollo CPLD C-M240, MAXII de Altera (EPM240100C5N). La Realiza el diseño de la tabla siguiente; con la secuencia de selección definiremos el canal que observaremos en la TV y en el Monitor o si permanece apagado. Diseña un multiplexor de 4:1 y 2:1 en lenguaje VHDL, en modo gráfico utilizando los símbolos diseñados de los Mux y compuertas, implementa la función T.V. con un solo Mux de 4:1 y Monitor con un solo Mux de 2:1. Obtén el diagrama. Nota: ON=H=1=led encendido OFF=L=0=led apagado Implementa en modo gráfico y simula tu diseño. Una vez verificados los resultados correctos en la simulación prepara tu diseño para descargarlo en la tarjeta CPLD C-M240, MAXII de Altera (EPM240100C5N) para caracterizar en el laboratorio. 5. Trabajo de Laboratorio. Caracteriza los circuitos de tu previo, utilizando el equipo de instrumentación del laboratorio y la tarjeta CPLD C-M240, MAXII de Altera (EPM240100C5N) obteniendo los valores prácticos. Analiza y compara los resultados teóricos, simulados y experimentales obtenidos con la finalidad de generar de carácter obligatorio tus propias conclusiones, haciendo énfasis en el objetivo planteado al

15 Práctica 10 MEMORIAS El alumno diseñará circuitos combinacionales. Analizar, diseñar, implementar funciones utilizando memoria ROM. Material necesario para implementar la práctica con una memoria Eprom o EEprom (27XX o 28XX respectivamente) Protoboard, 1 Dip-Switch de 5 líneas, 4 resistencias de 1KΩ, cables o jumpers para conexiones, 1 display de 7 segmentos (ánodo o cátodo común), 7 resistencias de 330Ω. Hoja de especificaciones de la memoria en foto copia. Diseñar la visualización en un display de 7 segmentos de tu número de cuenta y el número telefónico a 10 dígitos, para lo cual se debe de tener únicamente 4 switch de selección para direccionar la memoria y un switch para seleccionar la visualización entre el número de cuenta o número telefónico según deseado. Por medio de un diagrama lógico representa tu implementación. Escribe la tabla de datos de programación de la memoria, la cual debe contener direcciones y datos en hexadecimal y en binarios. Arma tu diseño en la tarjeta de prototipos para caracterizar en el laboratorio. 5. Trabajo de Laboratorio Caracteriza el circuito de tu previo, utilizando el equipo de instrumentación obteniendo los valores prácticos. Analiza y compara los resultados teóricos y experimentales obtenidos con la finalidad de generar de carácter obligatorio tus propias conclusiones, haciendo énfasis en el objetivo planteado al inicio de la práctica.

16 Apéndice A 1.1-1=Vcc= 5V = Rojo =Alto= H=Verdadero=Led Encendido=Abierto=Positivo=+ 0=GND= 0V = Bajo =Low= L =Falso =Led Apagado=Cerrado=Negativo=- Recordar que algunos de los circuitos integrados utilizados en esta práctica, contienen 4 o 6 compuertas cada uno, además necesitas alimentarlos en Vcc y Gnd, independientemente de la aplicación BC547 En las siguientes figuras se observa la implementación física del dip-switch en la protoboard, así como su diagrama electrónico utilizando los símbolos respectivos de los componentes Recuerda que el número más grande de tres bits elevado al cuadrado es 7 2 =49 por lo que se necesitan 6 bits para representar el resultado. La tabla está dada de la siguiente forma: Entradas Salidas # 2 Dip-Switch Led s A B C F 5 F 4 F 3 F 2 F 1 F

17 5.1- Observa el ejemplo siguiente. Ejemplo el número de cuenta es Debes utilizar una resistencia en cada segmento. Cuando colocas una de las 9 combinaciones en la entrada ABCD desplegara el número que corresponde de tu número de cuenta

Universidad Autónoma de Baja California

Universidad Autónoma de Baja California Universidad Autónoma de Baja California Facultad de Ingeniería, Arquitectura y Diseño Práctica de laboratorio Programa educativo Plan de estudio Clave asignatura Nombre de la asignatura Bioingeniería 2009-2

Más detalles

Programa de Asignatura

Programa de Asignatura Departamento de Ingeniería Industrial Programa: Ingeniería Mecatrónica, Plan 007- Asignatura: Electrónica Digital Clave: 999 Semestre: VII Tipo: Obligatoria H. Teoría: H. Práctica: H. Laboratorio: HSM:

Más detalles

Práctica 1 Introducción al Transistor BJT Región de Corte Saturación Aplicaciones

Práctica 1 Introducción al Transistor BJT Región de Corte Saturación Aplicaciones Práctica 1 Introducción al Transistor BJT Región de Corte Saturación Aplicaciones Universidad de San Carlos de Guatemala, Facultad de Ingeniería, Escuela de Mecánica Eléctrica, Laboratorio de Electrónica

Más detalles

Práctica 1 Transistor BJT Región de Corte Saturación Aplicaciones

Práctica 1 Transistor BJT Región de Corte Saturación Aplicaciones Práctica 1 Transistor BJT Región de Corte Saturación Aplicaciones Universidad de San Carlos de Guatemala, Facultad de Ingeniería, Escuela de Mecánica Eléctrica, Laboratorio de Electrónica 1, Segundo Semestre

Más detalles

CURSO: ELECTRÓNICA DIGITAL UNIDAD 2: SISTEMAS COMBINATORIOS - TEORÍA PROFESOR: JORGE ANTONIO POLANÍA 1. CIRCUITOS ARITMÉTICOS

CURSO: ELECTRÓNICA DIGITAL UNIDAD 2: SISTEMAS COMBINATORIOS - TEORÍA PROFESOR: JORGE ANTONIO POLANÍA 1. CIRCUITOS ARITMÉTICOS CURSO: ELECTRÓNICA DIGITAL UNIDAD 2: SISTEMAS COMBINATORIOS - TEORÍA PROFESOR: JORGE ANTONIO POLANÍA En Electrónica digital se tienen sistemas combinatorios y sistemas secuenciales. Un sistema combinatorio

Más detalles

CYNTHIA PATRICIA GUERRERO SAUCEDO

CYNTHIA PATRICIA GUERRERO SAUCEDO Manual de prácticas Prueba Circuitos Electrónicos Digitales Para Sistemas de Control Tabla de contenido Practica #1 Las Compuertas Lógicas...3 Practica #2 Circuitos Lógicos Combinacionales...6 Practica

Más detalles

Práctica 1 Introducción al Transistor BJT Diseño Región de Corte Saturación

Práctica 1 Introducción al Transistor BJT Diseño Región de Corte Saturación Práctica 1 Introducción al Transistor BJT Diseño Región de Corte Saturación Universidad de San Carlos de Guatemala, Facultad de Ingeniería, Escuela de Mecánica Eléctrica, Laboratorio de Electrónica 1,

Más detalles

Electrónica Digital: Sistemas Numéricos y Algebra de Boole

Electrónica Digital: Sistemas Numéricos y Algebra de Boole Electrónica Digital: Sistemas Numéricos y Algebra de Boole Profesor: Ing. Andrés Felipe Suárez Sánchez Grupo de Investigación en Percepción y Sistemas Inteligentes. Email: andres.suarez@correounivalle.edu.co

Más detalles

Asignaturas antecedentes y subsecuentes Diseño de Sistemas Digitales II

Asignaturas antecedentes y subsecuentes Diseño de Sistemas Digitales II PROGRAMA DE ESTUDIOS Diseño de Sistemas Digitales I Área a la que pertenece: Área Sustantiva Profesional Horas teóricas: 3 Horas prácticas: 2 Créditos: 8 Clave: F0157 Asignaturas antecedentes y subsecuentes

Más detalles

Asignaturas antecedentes y subsecuentes

Asignaturas antecedentes y subsecuentes PROGRAMA DE ESTUDIOS DISEÑO DE SISTEMAS DIGITALES I Área a la que pertenece: Área de Formación Transversal Horas teóricas: 3 Horas prácticas: 2 Créditos: 8 Clave: F0142 Asignaturas antecedentes y subsecuentes

Más detalles

Universidad Nacional de Quilmes

Universidad Nacional de Quilmes Universidad Nacional de Quilmes ASIGNATURA : TECNICAS DIGITALES CURSO :... CICLO LECTIVO : 2010 T. P. Nro. : II NOMBRE DEL T. P. : MBINACIONAL II: Decodificadores, Multiplexor, DeMultiplexor, Comparador,

Más detalles

TECNICO SUPERIOR UNIVERSITARIO EN TECNOLOGIAS DE LA INFORMACION Y COMUNICACIÓN ÁREA REDES Y TELECOMUNICACIONES.

TECNICO SUPERIOR UNIVERSITARIO EN TECNOLOGIAS DE LA INFORMACION Y COMUNICACIÓN ÁREA REDES Y TELECOMUNICACIONES. TECNICO SUPERIOR UNIVERSITARIO EN TECNOLOGIAS DE LA INFORMACION Y REDES Y TELECOMUNICACIONES. HOJA DE ASIGNATURA CON DESGLOSE DE UNIDADES TEMÁTICAS 1. Nombre de la asignatura Sistemas digitales. 2. Competencias

Más detalles

DISEÑO DIGITAL DRA. ADRIANA DEL CARMEN TÉLLEZ ANGUIANO

DISEÑO DIGITAL DRA. ADRIANA DEL CARMEN TÉLLEZ ANGUIANO DISEÑO DIGITAL DRA. ADRIANA DEL CARMEN TÉLLEZ ANGUIANO sagitario.itmorelia.edu.mx/actelleza adrianat@itmorelia.edu.mx A) OBJETIVOS DEL CURSO Conocer, comprender, analizar, diseñar y simular circuitos digitales

Más detalles

Montaje y evaluación de sistemas digitales combinacionales.

Montaje y evaluación de sistemas digitales combinacionales. PRÁCTICA 3 Montaje y evaluación de sistemas digitales combinacionales. 1. Objetivos El objetivo de la siguiente práctica es familiarizar al alumno con el manejo de sistemas combinacionales, además de:

Más detalles

Procedimiento para alambrar una Función

Procedimiento para alambrar una Función Procedimiento para alambrar una Función Función para visualizar la salida en un Display 7 Segmentos. Ing. Ma. Del Socorro Guevara Rdz. Materia Necesario: Proto- Board (tarjeta de Prototipos) Alambre tipo

Más detalles

PRÁCTICA 1: SISTEMAS COMBINACIONALES

PRÁCTICA 1: SISTEMAS COMBINACIONALES DEPARTAMENTO DE AUTOMÁTICA UAH GRADO fdsfdsdfsdfsdf EN INGENIERÍA INFORMÁTICA OBJETIVOS Iniciar y familiarizar al alumno con su puesto de trabajo en el laboratorio y con el manejo de la instrumentación

Más detalles

Electrónica Digital. Práctica 3: Dado Digital. Objetivo de la práctica: Conocimientos previos: Material necesario:

Electrónica Digital. Práctica 3: Dado Digital. Objetivo de la práctica: Conocimientos previos: Material necesario: Práctica 3: Dado Digital Objetivo de la práctica: El objetivo de la práctica es introducir algunas de las características reales de las puertas lógicas y el aprendizaje de circuitos combinacionales básicos.

Más detalles

Carrera: Clave de la asignatura: Participantes. Representantes de las academias de Ingeniería Mecánica de Institutos Tecnológicos.

Carrera: Clave de la asignatura: Participantes. Representantes de las academias de Ingeniería Mecánica de Institutos Tecnológicos. 1.- DATOS DE LA ASIGNATURA Nombre de la asignatura: Carrera: Clave de la asignatura: Horas teoría-horas práctica-créditos Sistemas Digitales Ingeniería Mecánica MCT 0539 2 3 7 2.- HISTORIA DEL PROGRAMA

Más detalles

UNIVERSIDAD AUTÓNOMA DE CHIAPAS Facultad de Contaduría y Administración, Campus I

UNIVERSIDAD AUTÓNOMA DE CHIAPAS Facultad de Contaduría y Administración, Campus I FACULTAD DE NEGOCIOS, CAMPUS IV FACULTAD DE NEGOCIOS, CAMPUS IV Programa descriptivo por unidad de competencia Programa Licenciatura en Ingeniería en Desarrollo y Tecnologías de Software Modalidad Presencial

Más detalles

ÍNDICE TEMÁTICO. 4 Características de las familias lógicas Circuitos lógicos combinacionales

ÍNDICE TEMÁTICO. 4 Características de las familias lógicas Circuitos lógicos combinacionales UNIVERSIDAD NACIONAL AUTÓNOMA DE MÉICO FACULTAD DE ESTUDIOS SUPERIORES CUAUTITLÁN LICENCIATURA: INGENIERÍA EN TELECOMUNICACIONES, SISTEMAS Y ELECTRÓNICA DENOMINACIÓN DE LA ASIGNATURA: Sistemas Digitales

Más detalles

PRÁCTICA 1: SISTEMAS COMBINACIONALES

PRÁCTICA 1: SISTEMAS COMBINACIONALES DEPARTAMENTO DE AUTOMÁTICA UAH GRADO EN INGENIERÍA INFORMÁTICA OBJETIVOS PRÁCTICA : SISTEMAS COMBINACIONALES ü ü Iniciar y familiarizar al alumno con su puesto de trabajo en el laboratorio y con el manejo

Más detalles

Implementación de funciones lógicas. Sumador binario combinacional.

Implementación de funciones lógicas. Sumador binario combinacional. PRÁCTICA 2 Implementación de funciones lógicas. Sumador binario combinacional. Objetivos Después de realizar esta práctica, el alumno deberá: 1) Saber implementar cualquier función lógica en suma de minterms,

Más detalles

Taller No. 6 Final Electrónica digital (Multiplexores y demultiplexores)

Taller No. 6 Final Electrónica digital (Multiplexores y demultiplexores) Taller No. 6 Final Electrónica digital (Multiplexores y demultiplexores) CONCEPTOS PREVIOS MULTIPLEXORES: Los multiplexores son circuitos combinacionales con varias entradas y una salida de datos, y están

Más detalles

DATOS DE IDENTIFICACIÓN DEL CURSO

DATOS DE IDENTIFICACIÓN DEL CURSO DEPARTAMENTO: ACADEMIA A LA QUE PERTENECE: NOMBRE DE LA MATERIA: CLAVE DE LA MATERIA: CARÁCTER DEL CURSO: TIPO DE CURSO: No. DE CRÉDITOS: No. DE HORAS TOTALES: ANTECEDENTES: CONSECUENTES: CARRERAS EN QUE

Más detalles

UNIVERSIDAD NACIONAL AUTÓNOMA DE MÉXICO FACULTAD DE INGENIERÍA PROGRAMA DE ESTUDIO

UNIVERSIDAD NACIONAL AUTÓNOMA DE MÉXICO FACULTAD DE INGENIERÍA PROGRAMA DE ESTUDIO UNIVERSIDAD NACIONAL AUTÓNOMA DE MÉXICO FACULTAD DE INGENIERÍA PROGRAMA DE ESTUDIO CIRCUITOS DIGITALES 0526 7º 10 Asignatura Clave Semestre Créditos Ingeniería Mecánica e Industrial Ingeniería Mecatrónica

Más detalles

ARQUITECTURAS ESPECIALES

ARQUITECTURAS ESPECIALES ARQUITECTURAS ESPECIALES EL - 337 Página Qué es un Multiplexor? EL - 337 Un multiplexor o MUX es un switch digital (interruptor digital) que conecta una de las entradas con su única salida. Desde el punto

Más detalles

TÉCNICO SUPERIOR UNIVERSITARIO EN MECATRÓNICA

TÉCNICO SUPERIOR UNIVERSITARIO EN MECATRÓNICA TÉCNICO SUPERIOR UNIVERSITARIO EN MECATRÓNICA SISTEMAS DIGITALES Plan de Estudios de 2009 Clave: Haga clic aquí para escribir texto. SISTEMAS DIGITALES Versión: SEPTIEMBRE 2013 Autor: Felipe de Jesús Cabrera

Más detalles

TÉCNICO SUPERIOR UNIVERSITARIO EN MANTENIMIENTO ÁREA INDUSTRIAL EN COMPETENCIAS PROFESIONALES ASIGNATURA DE ELECTRÓNICA DIGITAL

TÉCNICO SUPERIOR UNIVERSITARIO EN MANTENIMIENTO ÁREA INDUSTRIAL EN COMPETENCIAS PROFESIONALES ASIGNATURA DE ELECTRÓNICA DIGITAL TÉCNICO SUPERIOR UNIVERSITARIO EN MANTENIMIENTO ÁREA INDUSTRIAL EN COMPETENCIAS PROFESIONALES ASIGNATURA DE ELECTRÓNICA DIGITAL 1. Competencias Supervisar el reemplazo o fabricación de partes de los sistemas

Más detalles

UNIVERSIDAD NACIONAL FEDERICO VILLARREAL FACULTAD DE INGENIERÍA ELECTRÓNICA E INFORMÁTICA SÍLABO ASIGNATURA: LABORATORIO DE CIRCUITOS DIGITALES I

UNIVERSIDAD NACIONAL FEDERICO VILLARREAL FACULTAD DE INGENIERÍA ELECTRÓNICA E INFORMÁTICA SÍLABO ASIGNATURA: LABORATORIO DE CIRCUITOS DIGITALES I UNIVERSIDAD NACIONAL FEDERICO VILLARREAL FACULTAD DE INGENIERÍA ELECTRÓNICA E INFORMÁTICA SÍLABO ASIGNATURA: LABORATORIO DE CIRCUITOS DIGITALES I CÓDIGO: 8F0031 1. DATOS GENERALES 1.1. DEPARTAMENTO ACADÉMICO

Más detalles

UNIVERSIDAD AUTÓNOMA DE BAJA CALIFORNIA

UNIVERSIDAD AUTÓNOMA DE BAJA CALIFORNIA UNIVERSIDAD AUTÓNOMA DE BAJA CALIFORNIA CAMPUS ENSENADA FACULTAD DE INGENIERÍA ARQUITECTURA Y DISEÑO MANUAL DE PRÁCTICAS DE LABORATORIO DE CIRCUITOS DIGITALES AVANZADOS ELABORADO POR : PROFESOR ABRAHAM

Más detalles

DATOS DE IDENTIFICACIÓN DEL CURSO

DATOS DE IDENTIFICACIÓN DEL CURSO DATOS DE IDENTIFICACIÓN DEL CURSO DEPARTAMENTO: ELECTRÓNICA ACADEMIA A LA QUE PERTENECE: Sistemas Digitales Básicos NOMBRE DE LA MATERIA: Taller de Sistemas Digitales I CLAVE DE LA MATERIA: ET212 CARÁCTER

Más detalles

PRE: Electrónica y Laboratorio PRE: Matemática Discreta COR: Espacio de Laboratorio de Lógica Digital

PRE: Electrónica y Laboratorio PRE: Matemática Discreta COR: Espacio de Laboratorio de Lógica Digital Código-Materia: 11121 LÓGICA DIGITAL Y LABORATORIO Requisito: PRE: 11264 Electrónica y Laboratorio PRE: 08276 Matemática Discreta COR: 11317 Espacio de Laboratorio de Lógica Digital Programa Semestre:

Más detalles

APOYO PARA EL LOGRO DEL PRIMER APRENDIZAJE ESPERADO: CONCEPTOS PREVIOS

APOYO PARA EL LOGRO DEL PRIMER APRENDIZAJE ESPERADO: CONCEPTOS PREVIOS Profesor/a(s) Nivel o Curso/s 4º Ramon Flores Pino Unidad/Sub Unidad 2.- Circuitos de lógica Combinacional Contenidos 1 Compuertas lógicas 2. Enfoque de problemas, 3.- Codificadores y decodificadores GUÍA

Más detalles

LABELN-ELN Laboratorio Nº 5 Circuitos Lógicos Combinacionales

LABELN-ELN Laboratorio Nº 5 Circuitos Lógicos Combinacionales 1 LABELN-ELN Laboratorio Nº 5 Circuitos Lógicos Combinacionales Objetivos Diseñar un circuito digital combinacional que permita realizar la suma de dos números binarios de 3 bits cada uno. Utilizar LEDs

Más detalles

PRE: Electrónica y Laboratorio PRE: Matemática Discreta COR: Espacio de Laboratorio de Lógica Digital

PRE: Electrónica y Laboratorio PRE: Matemática Discreta COR: Espacio de Laboratorio de Lógica Digital Código-Materia: 11121 LÓGICA DIGITAL Y LABORATORIO Requisito: PRE: 11264 Electrónica y Laboratorio PRE: 08276 Matemática Discreta COR: 11317 Espacio de Laboratorio de Lógica Digital Programa Semestre:

Más detalles

CBTIS 122 CIRCUITOS DIGITALES ACADEMIA DE MECATRONICA INDICE

CBTIS 122 CIRCUITOS DIGITALES ACADEMIA DE MECATRONICA INDICE CYNTHIA P. GUERRERO SAUCEDO PALOMA G. MENDOZA VILLEGAS INDICE 1. USO DEL PROTOBOARD Y COMPONENTES BASICOS..2 2. SUMADOR BINARIO DE 4 BITS.. 7 3. EVALUACION DE UN CIRCUITO LOGICO DE 3 VARIABLES.. 9 4. IMPLEMENTACION

Más detalles

Unidad de aprendizaje: Operación de circuitos combinatorios. Número 1

Unidad de aprendizaje: Operación de circuitos combinatorios. Número 1 2.4. Unidades de aprendizaje Unidad de aprendizaje: Operación de circuitos combinatorios. Número 1 Propósito de la unidad: Operar circuitos electrónicos digitales de lógica combinatoria, identificando

Más detalles

EDIG. Electrónica Digital

EDIG. Electrónica Digital Electrónica Digital Electrónica Digital Temario Evaluación Bibliografía Electrónica Digital () 3 ECTS Temario Nº de Tema Título Tema Contenido clase 1 1 Codificación información y Álgebra de Boole Introducción

Más detalles

Diseño de Sistemas Digitales. Evaluación. Forma de Trabajo. Diseño de Sistemas Digitales. DSD. Introducción 30/07/2012

Diseño de Sistemas Digitales. Evaluación. Forma de Trabajo. Diseño de Sistemas Digitales. DSD. Introducción 30/07/2012 Diseño de Sistemas Digitales MI Elizabeth Fonseca Chávez bloganalisis1.wordpress.com profesora_elizabeth@yahoo.com.mx Libros base: Diseño Digital. Morris Mano, Sistemas Digitales Ronald Tocci Digital logic

Más detalles

UNIVERSIDAD DE GUADALAJARA

UNIVERSIDAD DE GUADALAJARA UNIVERSIDAD DE GUADALAJARA CENTRO UNIVERSITARIO DE LOS VALLES PROGRAMA DE ESTUDIO DISEÑO ELECTRÓNICO DIGITAL 2012-B I.- DATOS GENERALES DEL PROGRAMA DE ESTUDIOS 1. Nombre de la Asignatura: Diseño Electrónico

Más detalles

Código: Titulación: ING. TÉCNICO IND. EN ELECTRÓNICA INDUSTRIAL Curso: 2

Código: Titulación: ING. TÉCNICO IND. EN ELECTRÓNICA INDUSTRIAL Curso: 2 ASIGNATURA: ELECTRÓNICA DIGITAL Código: 126212006 Titulación: ING. TÉCNICO IND. EN ELECTRÓNICA INDUSTRIAL Curso: 2 Profesor(es) responsable(s): JOSE ALFONSO VERA REPULLO - Departamento: TECNOLOGÍA ELECTRONICA

Más detalles

Datos de la materia. Clave de la materia: Liga al programa de la asignatura: Competencias a desarrollar:

Datos de la materia. Clave de la materia: Liga al programa de la asignatura: Competencias a desarrollar: Datos de la materia Nombre de la materia: Clave de la materia: Liga al programa de la asignatura: Competencias a desarrollar: Sistemas Digitales TE1010 https://serviciosva.itesm.mx/planesestudio/consultas/materias/consultamaterias.aspx?form=consulta

Más detalles

Practica #1 Las Compuertas Lógicas

Practica #1 Las Compuertas Lógicas Practica #1 Las Compuertas Lógicas Integrantes del equipo: Objetivo: Que el alumno se familiarice con el funcionamiento de cada una de las compuertas lógicas. Material: 2 Leds (de cualquier color) 4 Resistencias

Más detalles

Conocer la aplicación de dispositivos semiconductores, como conmutadores, así como las compuertas lógicas básicas y sus tablas de verdad.

Conocer la aplicación de dispositivos semiconductores, como conmutadores, así como las compuertas lógicas básicas y sus tablas de verdad. OBJETIVO GENERAL: PRACTICA No. 1: PRINCIPIOS BÁSICOS Conocer la aplicación de dispositivos semiconductores, como conmutadores, así como las compuertas lógicas básicas y sus tablas de verdad. OBJETIVOS

Más detalles

A) Nombre del Curso. B) Datos básicos del curso. C) Objetivos del curso. Electrónica digital. Semestre. Créditos. Horas de teoría por semana

A) Nombre del Curso. B) Datos básicos del curso. C) Objetivos del curso. Electrónica digital. Semestre. Créditos. Horas de teoría por semana UNIDAD ACADÉMICA MULTIDISCIPLINARIA ZONA MEDIA A) Nombre del Curso Electrónica digital B) Datos básicos del curso Semestre Horas de teoría por semana Horas de práctica por semana Horas trabajo adicional

Más detalles

Documento No Controlado, Sin Valor

Documento No Controlado, Sin Valor TÉCNICO SUPERIOR UNIVERSITARIO EN MANTENIMIENTO ÁREA INSTALACIONES EN COMPETENCIAS PROFESIONALES ASIGNATURA DE ELECTRÓNICA DIGITAL 1. Competencias Supervisar la operación y mantenimiento en instalaciones

Más detalles

Instituto Tecnológico de Querétaro

Instituto Tecnológico de Querétaro DISEÑO DIGITAL Departamento de Ingeniería Eléctrica y Electrónica Guía de Práctica de Diseño Digital. I.-NÚMERO DE GUÍA: 02. II.- NOMBRE: RESISITENCIAS PULL UP Y PULL DOWN..- Datos generales a) Modalidad:

Más detalles

UNIVERSIDAD NACIONAL AUTÓNOMA DE MÉXICO FACULTAD DE INGENIERÍA PROGRAMA DE ESTUDIO

UNIVERSIDAD NACIONAL AUTÓNOMA DE MÉXICO FACULTAD DE INGENIERÍA PROGRAMA DE ESTUDIO UNIVERSIDAD NACIONAL AUTÓNOMA DE MÉXICO FACULTAD DE INGENIERÍA PROGRAMA DE ESTUDIO DISEÑO DE SISTEMAS DIGITALES 1551 5 o 11 Asignatura Clave Semestre Créditos Ingeniería Eléctrica Ingeniería en Computación

Más detalles

GUIAS ÚNICAS DE LABORATORIO SUMADOR Y MULTIPLEXOR AUTOR: ALBERTO CUERVO SANTIAGO DE CALI UNIVERSIDAD SANTIAGO DE CALI DEPARTAMENTO DE LABORATORIOS

GUIAS ÚNICAS DE LABORATORIO SUMADOR Y MULTIPLEXOR AUTOR: ALBERTO CUERVO SANTIAGO DE CALI UNIVERSIDAD SANTIAGO DE CALI DEPARTAMENTO DE LABORATORIOS GUIAS ÚNICAS DE LABORATORIO SUMADOR Y MULTIPLEXOR AUTOR: ALBERTO CUERVO SANTIAGO DE CALI UNIVERSIDAD SANTIAGO DE CALI DEPARTAMENTO DE LABORATORIOS SUMADOR Y MULTIPLEXOR OBJETIVO La práctica presente tiene

Más detalles

Paez Trujillo, Emiliano

Paez Trujillo, Emiliano I. INFORMACIÓN GENERAL CURSO : Circuitos Lógicos Digitales CÓDIGO : EL169 CICLO : 201701 CUERPO ACADÉMICO : Barriga Hoyle, Javier Augusto Paez Trujillo, Emiliano CRÉDITOS : 4 SEMANAS : 16 HORAS : 1 H (Laboratorio)

Más detalles

Carrera: ECC Participantes Representante de las academias de ingeniería electrónica de los Institutos Tecnológicos.

Carrera: ECC Participantes Representante de las academias de ingeniería electrónica de los Institutos Tecnológicos. 1.- DATOS DE LA ASIGNATURA Nombre de la asignatura: Carrera: Clave de la asignatura: Horas teoría-horas práctica-créditos Electrónica Digital I Ingeniería Electrónica ECC-0416 4-2-10 2.- HISTORIA DEL PROGRAMA

Más detalles

PROGRAMA DE ESTUDIO Área de Formación : Carlos González Zacarías Fecha de elaboración: 21 de Mayo de 2010 Fecha de última actualización:

PROGRAMA DE ESTUDIO Área de Formación : Carlos González Zacarías Fecha de elaboración: 21 de Mayo de 2010 Fecha de última actualización: PROGRAMA DE ESTUDIO Programa Educativo: Área de Formación : Licenciado en Informática Administrativa General Sistemas digitales Horas teóricas: 2 Horas prácticas: 4 Total de Horas: 6 Total de créditos:

Más detalles

1.- DATOS DE LA ASIGNATURA

1.- DATOS DE LA ASIGNATURA 1.- DATOS DE LA ASIGNATURA Nombre de la asignatura: Electrónica Digital Carrera: Ingeniería Mecatrónica Clave de la asignatura: MTF-1013 (Créditos) SATCA 3-2 - 5 2.- PRESENTACIÓN Esta asignatura aporta

Más detalles

ELECTRÓNICA DIGITAL. Ejercicios propuestos Tema 3

ELECTRÓNICA DIGITAL. Ejercicios propuestos Tema 3 ELECTRÓNICA DIGITAL Ejercicios propuestos Tema Ejercicio. Convertir a binario natural, los siguientes números expresados en formato decimal. Puedes predecir a priori los bits que necesitarás para la representación

Más detalles

ÍNDICE CAPÍTULO 1. CÓDIGOS DE NUMERACIÓN CAPÍTULO 2. ÁLGEBRA DE CONMUTACIÓN Y FUNCIONES LÓGICAS... 37

ÍNDICE CAPÍTULO 1. CÓDIGOS DE NUMERACIÓN CAPÍTULO 2. ÁLGEBRA DE CONMUTACIÓN Y FUNCIONES LÓGICAS... 37 ÍNDICE LISTA DE FIGURAS... 7 LISTA DE TABLAS... 11 CAPÍTULO 1. CÓDIGOS DE NUMERACIÓN... 13 1.1. REPRESENTACIÓN DE LA INFORMACIÓN... 15 1.2. SISTEMAS DE NUMERACIÓN BINARIO NATURAL Y HEXADECIMAL... 18 1.3.

Más detalles

Carrera: ELC Participantes Representante de las academias de la carrera de Ingeniería Eléctrica de los Institutos Tecnológicos.

Carrera: ELC Participantes Representante de las academias de la carrera de Ingeniería Eléctrica de los Institutos Tecnológicos. 1.- DATOS DE LA ASIGNATURA Nombre de la asignatura: Carrera: Clave de la asignatura: Horas teoría-horas práctica-créditos: Sistemas Digitales Ingeniería Eléctrica ELC-0533 4-2-10 2.- HISTORIA DEL PROGRAMA

Más detalles

TÉCNICO SUPERIOR UNIVERSITARIO EN MECATRÓNICA ÁREA AUTOMATIZACIÓN EN COMPETENCIAS PROFESIONALES ASIGNATURA DE ELECTRÓNICA DIGITAL

TÉCNICO SUPERIOR UNIVERSITARIO EN MECATRÓNICA ÁREA AUTOMATIZACIÓN EN COMPETENCIAS PROFESIONALES ASIGNATURA DE ELECTRÓNICA DIGITAL TÉCNICO SUPERIOR UNIVERSITARIO EN MECATRÓNICA ÁREA AUTOMATIZACIÓN EN COMPETENCIAS PROFESIONALES ASIGNATURA DE ELECTRÓNICA DIGITAL 1. Competencias Desarrollar y conservar sistemas automatizados y de control,

Más detalles

PROGRAMA PROFESIONAL DE INGENIERÍA MECÁNICA SÍLABO SISTEMAS DIGITALES

PROGRAMA PROFESIONAL DE INGENIERÍA MECÁNICA SÍLABO SISTEMAS DIGITALES 1. DATOS INFORMATIVOS PROGRAMA PROFESIONAL DE INGENIERÍA MECÁNICA SÍLABO SISTEMAS DIGITALES Asignatura : Sistemas Digitales Código : 430731 Carrera Profesional : Ingeniería Mecánica Semestre Académico

Más detalles

Universidad Estatal de Sonora Secuencia didáctica

Universidad Estatal de Sonora Secuencia didáctica Universidad Estatal de Sonora Secuencia didáctica Curso: Arquitectura de Computadoras Horas aula: 4 Clave: COM19B1 Antecedente: COM13A2 Horas plataforma: 2 Competencia del área: Competencia del curso:

Más detalles

División Académica de Informática y Sistemas

División Académica de Informática y Sistemas Área de formación Sustantiva Profesional Nombre de la asignatura Docencia frente a grupo según SATCA Trabajo de Campo Supervisado según SATCA HCS HPS TH C HTCS TH C TC 2 2 4 4 0 0 0 4 Clave de la asignatura

Más detalles

Instituto Tecnológico de Querétaro

Instituto Tecnológico de Querétaro DISEÑO DIGITAL Departamento de Ingeniería Eléctrica y Electrónica Guía de Práctica de Diseño Digital. I.-NÚMERO DE GUÍA: 02. II.- NOMBRE: RESISITENCIAS PULL UP Y PULL DOWN..- Datos generales a) Modalidad:

Más detalles

UNIVERSIDAD NACIONAL MAYOR DE SAN MARCOS (Universidad del Perú, DECANA DE AMÉRICA)

UNIVERSIDAD NACIONAL MAYOR DE SAN MARCOS (Universidad del Perú, DECANA DE AMÉRICA) UNIVERSIDAD NACIONAL MAYOR DE SAN MARCOS (Universidad del Perú, DECANA DE AMÉRICA) FACULTAD DE INGENIERIA DE SISTEMAS E INFORMATICA ESCUELA PROFESIONAL DE INGENIERÍA DE SISTEMAS 1. INFORMACIÓN GENERAL

Más detalles

TÉCNICO SUPERIOR UNIVERSITARIO EN MECATRÓNICA ÁREA AUTOMATIZACIÓN EN COMPETENCIAS PROFESIONALES ASIGNATURA DE DISPOSITIVOS DIGITALES

TÉCNICO SUPERIOR UNIVERSITARIO EN MECATRÓNICA ÁREA AUTOMATIZACIÓN EN COMPETENCIAS PROFESIONALES ASIGNATURA DE DISPOSITIVOS DIGITALES TÉCNICO SUPERIOR UNIVERSITARIO EN MECATRÓNICA ÁREA AUTOMATIZACIÓN EN COMPETENCIAS PROFESIONALES ASIGNATURA DE DISPOSITIVOS DIGITALES 1. Competencias Implementar sistemas de medición y control bajo los

Más detalles

Electrónica II. Carrera. Electromecánica EMM UBICACIÓN DE LA ASIGNATURA a) Relación con otras asignaturas del plan de estudios.

Electrónica II. Carrera. Electromecánica EMM UBICACIÓN DE LA ASIGNATURA a) Relación con otras asignaturas del plan de estudios. 1. DATOS DE LA ASIGNATURA Nombre de la asignatura Carrera Clave de la asignatura Horas teoría-horas práctica-créditos Electrónica II Electromecánica EMM-0516 3-2-8 2. HISTORIA DEL PROGRAMA Lugar y fecha

Más detalles

UNIVERSIDAD DE PUERTO RICO EN HUMACAO DEPARTAMENTO DE FISICA Y ELECTRONICA PROGRAMA DE GRADO ASOCIADO EN TECNOLOGIA ELECTRÓNICA

UNIVERSIDAD DE PUERTO RICO EN HUMACAO DEPARTAMENTO DE FISICA Y ELECTRONICA PROGRAMA DE GRADO ASOCIADO EN TECNOLOGIA ELECTRÓNICA UNIVERSIDAD DE PUERTO RICO EN HUMACAO DEPARTAMENTO DE FISICA Y ELECTRONICA PROGRAMA DE GRADO ASOCIADO EN TECNOLOGIA ELECTRÓNICA A. Título: B. Codificación del Curso: TEEL 2111 C. Número de horas Crédito:

Más detalles

Conocer, diseñar y aplicar los circuitos digitales para el control de los diferentes sistemas mecatrónicos.

Conocer, diseñar y aplicar los circuitos digitales para el control de los diferentes sistemas mecatrónicos. Nombre de la asignatura: Electrónica Digital Créditos: 2-4-6 Aportación al perfil Conocer y analizar la diferencia entre circuitos analógicos y digitales y la relación existente entre ellos. Analizar sistemas

Más detalles

Sistemas Digitales I

Sistemas Digitales I UNIVERSIDAD INDUSTRIAL DE SANTANDER Sistemas Digitales I Taller No1 Profesor: Carlos A. Fajardo Mayo de 2015 Temas: Representación digital de los Datos, Algebra de Boole, Funciones Lógicas, Introducción

Más detalles

PRÁCTICA 1 MIC Usando el simulador (digital). Compruebe la tabla de verdad para las compuertas lógicas básicas: NOT, OR, AND, NAND, NAND, XOR

PRÁCTICA 1 MIC Usando el simulador (digital). Compruebe la tabla de verdad para las compuertas lógicas básicas: NOT, OR, AND, NAND, NAND, XOR UNIVERSIDAD DE MAGALLANES FACULTAD DE INGENIERÍA DEPARTAMENTO DE COMPUTACIÓN Profesor: Eduardo Peña Jaramillo. Ayudante:. PRÁCTICA MIC38 Nombre de la práctica: Compuertas Lógicas Básicas y sus Tablas de

Más detalles

SÍLABO FACULTAD DE INGENIERÍA ELECTRÓNICA E INFORMÁTICA. ASIGNATURA: LABORATORIO DE CIRCUITOS DIGITALES I CÓDIGO: 8F0031 I.

SÍLABO FACULTAD DE INGENIERÍA ELECTRÓNICA E INFORMÁTICA. ASIGNATURA: LABORATORIO DE CIRCUITOS DIGITALES I CÓDIGO: 8F0031 I. FACULTAD DE INGENIERÍA ELECTRÓNICA E INFORMÁTICA. Año del Diálogo y la Reconciliación Nacional SÍLABO ASIGNATURA: LABORATORIO DE CIRCUITOS DIGITALES I CÓDIGO: 8F01 I. DATOS GENERALES 1.1 Departamento Académico

Más detalles

DISEÑO CURRICULAR ELECTRÓNICA DIGITAL

DISEÑO CURRICULAR ELECTRÓNICA DIGITAL DISEÑO CURRICULAR ELECTRÓNICA DIGITAL FACULTAD (ES) CARRERA (S) Ingeniería Computación y Sistemas. CÓDIGO HORAS TEÓRICAS HORAS PRÁCTICAS UNIDADES DE CRÉDITO SEMESTRE 116243 02 02 03 VI PRE-REQUISITO ELABORADO

Más detalles

INSTITUTO POLITÉCNICO NACIONAL SECRETARÍA ACADÉMICA DIRECCIÓN DE ESTUDIOS PROFESIONALES EN INGENIERÍA Y CIENCIAS FÍSICO MATEMÁTICAS

INSTITUTO POLITÉCNICO NACIONAL SECRETARÍA ACADÉMICA DIRECCIÓN DE ESTUDIOS PROFESIONALES EN INGENIERÍA Y CIENCIAS FÍSICO MATEMÁTICAS ESCUELA: UNIDAD PROFESIONAL INTERDISCIPLINARIA DE INGENIERÍA Y CIENCIAS SOCIALES Y ADMINISTRATIVAS. CARRERA: INGENIERÍA EN INFORMATICA.. COORDINACION: LABORATORIO DE CIENCIAS. DEPARTAMENTO: CIENCIAS DE

Más detalles

UNIVERSIDAD AUTONOMA DE BAJA CALIFORNIA

UNIVERSIDAD AUTONOMA DE BAJA CALIFORNIA UNIVERSIDAD AUTONOMA DE BAJA CALIFORNIA DIRECCION GENERAL DE ASUNTOS ACADEMICOS PROGRAMA DE ASIGNATURA POR COMPETENCIAS I. DATOS DE IDENTIFICACIÓN 1. Unidad Académica: Facultad de Ciencias Químicas e Ingeniería

Más detalles

SOLUCIÓN TIPO TEST 1 Y 2 (CORRECTA 0,5 PUNTOS, ERRÓNEA, -0,25 PUNTOS) TIPO TEST 3 Y 4 (CORRECTA 1,0 PUNTO, ERRÓNEA, -0,5 PUNTOS)

SOLUCIÓN TIPO TEST 1 Y 2 (CORRECTA 0,5 PUNTOS, ERRÓNEA, -0,25 PUNTOS) TIPO TEST 3 Y 4 (CORRECTA 1,0 PUNTO, ERRÓNEA, -0,5 PUNTOS) TECNOLOGÍA DE COMPUTADORES / SISTEMAS DIGITALES EXAMEN FINAL. 16 JUNIO 2003 SOLUCIÓN TIPO TEST 1 Y 2 (CORRECTA 0,5 PUNTOS, ERRÓNEA, -0,25 PUNTOS) TIPO TEST 3 Y 4 (CORRECTA 1,0 PUNTO, ERRÓNEA, -0,5 PUNTOS)

Más detalles

4. Decodificadores. Aplicaciones

4. Decodificadores. Aplicaciones 4. Decodificadores. Aplicaciones Objetivos: Diseñar e implementar un decodificador a partir de puertas lógicas. Estudiar los circuitos integrados que implementan sistemas digitales decodificadores. Utilizar

Más detalles

ANX-PR/CL/ GUÍA DE APRENDIZAJE. ASIGNATURA Electronica digital. CURSO ACADÉMICO - SEMESTRE Primer semestre

ANX-PR/CL/ GUÍA DE APRENDIZAJE. ASIGNATURA Electronica digital. CURSO ACADÉMICO - SEMESTRE Primer semestre ANX-PR/CL/001-01 GUÍA DE APRENDIZAJE ASIGNATURA Electronica digital CURSO ACADÉMICO - SEMESTRE 2016-17 - Primer semestre GA_09TT_95000018_1S_2016-17 Datos Descriptivos Nombre de la Asignatura Titulación

Más detalles

Programa de estudio ELECTRÓNICA DIGITAL

Programa de estudio ELECTRÓNICA DIGITAL 1. Área académica Técnica 2. Programa educativo Ingeniería Eléctrica 3. Dependencia/Entidad académica Facultad de Ingeniería, Facultad de Ingeniería Civil y Mecánica Eléctrica, Facultad de Ingeniería Mecánica

Más detalles

PROGRAMA DE LA ASIGNATURA Curso académico 2008/09

PROGRAMA DE LA ASIGNATURA Curso académico 2008/09 PROGRAMA DE LA ASIGNATURA Curso académico 2008/09 Identificación y características de la asignatura Denominación Electrónica Digital Código 101670 Créditos (T+P) 9 (6+3) Titulación Centro Licenciatura

Más detalles

PROGRAMA ANALÍTICO. Dr. Ing. Guillermo A. Magallán Profesor Adjunto

PROGRAMA ANALÍTICO. Dr. Ing. Guillermo A. Magallán Profesor Adjunto PROGRAMA ANALÍTICO DEPARTAMENTO: TELECOMUNICACIONES CARRERA: INGENIERÍA EN TELECOMUNICACIONES ASIGNATURA: PROGRAMACIÓN LÓGICA PARA INGENIERÍA CÓDIGO: 0073 AÑO ACADÉMICO: 2017 PLAN DE ESTUDIO: 2010 UBICACIÓN

Más detalles

UNIVERSIDAD DE GUADALAJARA

UNIVERSIDAD DE GUADALAJARA UNIVERSIDAD DE GUADALAJARA CENTRO UNIVERSITARIO DE LOS ALTOS DIVISIÓN DE ESTUDIOS EN FORMACIONES SOCIALES LICENCIATURA: INGENIERÍA EN COMPUTACIÓN UNIDAD DE APRENDIZAJE POR OBJETIVOS SISTEMAS DIGITALES

Más detalles

TECNICO SUPERIOR UNIVERSITARIO EN TECNOLOGIAS DE LA INFORMACION Y COMUNICACIÓN ÁREA REDES Y TELECOMUNICACIONES.

TECNICO SUPERIOR UNIVERSITARIO EN TECNOLOGIAS DE LA INFORMACION Y COMUNICACIÓN ÁREA REDES Y TELECOMUNICACIONES. TECNICO SUPERIOR UNIVERSITARIO EN TECNOLOGIAS DE LA INFORMACION Y COMUNICACIÓN ÁREA REDES Y TELECOMUNICACIONES. HOJA DE ASIGNATURA CON DESGLOSE DE UNIDADES TEMÁTICAS 1. Nombre de la asignatura Sistemas

Más detalles

PRÁCTICA 1b: SUMA Y RESTA BINARIA

PRÁCTICA 1b: SUMA Y RESTA BINARIA DEPARTAMENTO DE AUTOMÁTICA UAH GRADO EN INGENIERÍA INFORMÁTICA OBJETIVOS PRÁCTICA 1b: SUMA Y RESTA BINARIA ü ü Iniciar y familiarizar al alumno con las operaciones básicas (suma y resta) con números binarios.

Más detalles

Programa del curso. Diseño de Circuitos Digitales. CI-1210.

Programa del curso. Diseño de Circuitos Digitales. CI-1210. Programa del curso. Diseño de Circuitos Digitales. CI-1210. Profesor: M. Sc. Sanders Pacheco Araya. Teléfono: 2511-5156 Oficina. 224 E-mail: spacheco@ice.co.cr Horario: L J 09:00 a 10:40 horas Aula: 305

Más detalles

SÍLABO CIRCUITOS DIGITALES II ÁREA CURRICULAR: SISTEMAS DIGITALES SEMESTRE ACADÉMICO 2016-II : : Circuitos Digitales I.

SÍLABO CIRCUITOS DIGITALES II ÁREA CURRICULAR: SISTEMAS DIGITALES SEMESTRE ACADÉMICO 2016-II : : Circuitos Digitales I. ESCUELA PROFESIONAL: INGENIERÍA ELECTRÓNICA SÍLABO CIRCUITOS DIGITALES II ÁREA CURRICULAR: SISTEMAS DIGITALES CICLO VI SEMESTRE ACADÉMICO 2016-II I. CÓDIGO DEL CURSO II. CREDITOS III. REQUÍSITOS IV. CONDICIÓN

Más detalles

Sistemas digitales

Sistemas digitales Información del Plan Docente Año académico 2017/18 Centro académico Titulación 100 - Facultad de Ciencias 447 - Graduado en Física Créditos 5.0 Curso 4 Periodo de impartición Clase de asignatura Primer

Más detalles

ESCUELA: UNIVERSIDAD DEL ISTMO

ESCUELA: UNIVERSIDAD DEL ISTMO 1.-IDENTIFICACIÓN ESCUELA: UNIVERSIDAD DEL ISTMO CLAVE: 30 GRADO: ING. EN COMPUTACIÓN, SEXTO SEMESTRE TIPO DE TEÓRICA/PRÁCTICA ANTECEDENTE CURRICULAR: 305.- OBJETIVO GENERAL Otorgar al alumno los conocimientos

Más detalles

VICERRECTORADO ACADÉMICO Unidad de Desarrollo Educativo

VICERRECTORADO ACADÉMICO Unidad de Desarrollo Educativo PROGRAMA DE ASIGNATURA SÍLABO - 1. DATOS INFORMATIVOS ASIGNATURA: CIRCUITOS DIGITALES DEPARTAMENTO: ELÉCTRICA Y ELECTRÓNICA DOCENTE: CÓDIGO: ELEE1006 NRC: CARRERAS: INGENIERIA EN ELECTRÓNICA Y TELECOMUNICACIONES;

Más detalles

CURSO: ELECTRÓNICA DIGITAL UNIDAD 1: COMPUERTAS LÓGICAS - TEORÍA PROFESOR: JORGE ANTONIO POLANÍA

CURSO: ELECTRÓNICA DIGITAL UNIDAD 1: COMPUERTAS LÓGICAS - TEORÍA PROFESOR: JORGE ANTONIO POLANÍA CURSO: ELECTRÓNICA DIGITAL UNIDAD 1: COMPUERTAS LÓGICAS - TEORÍA PROFESOR: JORGE ANTONIO POLANÍA Las compuertas lógicas son bloques que realizan las operaciones básicas de la aritmética binaria del álgebra

Más detalles

Pertinencia para el Programa

Pertinencia para el Programa Formato básico para la elaboración de Syllabus ESCUELA TECNOLÓGICA INSTITUTO TÉCNICO CENTRAL - ETITC Vicerrectoría Académica Facultad: SISTEMAS Identificación del Espacio Académico Nombre de la Asignatura:

Más detalles

Prerrequisito: Número de Horas Totales 160 Hrs Teoría: 120 Práctica: 40 Horas semanales: 8 Créditos: 17

Prerrequisito: Número de Horas Totales 160 Hrs Teoría: 120 Práctica: 40 Horas semanales: 8 Créditos: 17 Universidad de Guadalajara Centro Universitario de Ciencias Exactas e Ingenierías División de Electrónica y Computación Departamento de Electrónica Ingeniería en Comunicaciones y Electrónica DISEÑO DIGITAL

Más detalles

Operadores lógicos con dispositivos de función fija TTL

Operadores lógicos con dispositivos de función fija TTL Práctica 2 Operadores lógicos con dispositivos de función fija TTL Objetivos particulares Durante el desarrollo de esta práctica el estudiante asociará el símbolo, con la expresión matemática y la tabla

Más detalles

Departamento de Ingeniería Eléctrica y Electrónica. Guía de Prácticas de Laboratorio. Materia: Diseño Digital. Laboratorio de Ingeniería Electrónica

Departamento de Ingeniería Eléctrica y Electrónica. Guía de Prácticas de Laboratorio. Materia: Diseño Digital. Laboratorio de Ingeniería Electrónica Instituto Tecnológico de Querétaro Departamento de Ingeniería Eléctrica y Electrónica Guía de Prácticas de Laboratorio Materia: Diseño Digital Laboratorio de Ingeniería Electrónica Santiago de Querétaro,

Más detalles

ELECTRONICA DIGITAL. Guía de Aprendizaje Información al estudiante. Electrónica Digital. M5. Electrónica. Ingeniería Electrónica.

ELECTRONICA DIGITAL. Guía de Aprendizaje Información al estudiante. Electrónica Digital. M5. Electrónica. Ingeniería Electrónica. ELECTRONICA DIGITAL Guía de Aprendizaje Información al estudiante 1. Datos Descriptivos Asignatura Materia Departamento responsable Electrónica Digital M5. Electrónica Ingeniería Electrónica Créditos ECTS

Más detalles

GUÍA DOCENTE CURSO FICHA TÉCNICA DE LA ASIGNATURA. Datos de la asignatura Electrónica Digital

GUÍA DOCENTE CURSO FICHA TÉCNICA DE LA ASIGNATURA. Datos de la asignatura Electrónica Digital ESCUELA TÉCNICA SUPERIOR DE INGENIERIA GUÍA DOCENTE CURSO 2017-2018 FICHA TÉCNICA DE LA ASIGNATURA Datos de la asignatura Nombre Electrónica Digital Código DEA-GITI-341 Titulación Grado en Ingeniería Electromecánica

Más detalles

SILABO SISTEMAS DIGITALES I

SILABO SISTEMAS DIGITALES I SILABO SISTEMAS DIGITALES I I. DATOS GENERALES 1.. Unidad Académica : Ingeniería Electrónica y telecomunicaciones 1.1. Nivel : Pregrado 1.2. Semestre Académico : 218-1B 1.3. Código : 292-29213 1.4. Ciclo

Más detalles

FUNDAMENTOS DE COMPUTADORES Ejercicios U2: Circuitos Combinacionales

FUNDAMENTOS DE COMPUTADORES Ejercicios U2: Circuitos Combinacionales U_. Se desea transmitir las primeras cuatro letras del alfabeto de un ordenador ORD a otro ORD. En el primero las cuatro letras están codificadas en tres líneas X, X y X y en el segundo tan sólo en dos,

Más detalles

Programa del curso. Diseño de Circuitos Digitales. CI-1210.

Programa del curso. Diseño de Circuitos Digitales. CI-1210. Profesor: M. Sc. Sanders Pacheco Araya. Teléfono: 2511-5156 Oficina. 224 E-mail: spacheco@ice.co.cr Programa del curso. Diseño de Circuitos Digitales. CI-1210. Horario: L J 15:05 a 16:45 horas Aula: 306

Más detalles

Organización del Computador 1 Lógica Digital 1: álgebra de Boole y

Organización del Computador 1 Lógica Digital 1: álgebra de Boole y Introducción Circuitos Bloques Organización del Computador 1 Lógica Digital 1: álgebra de Boole y compuertas Departamento de Computación Facultad de Ciencias Exactas y Naturales Universidad de Buenos Aires

Más detalles

Laboratorio de Electrónica Digital Área de Ingeniería Mecatrónica Carrera/programa de Ingeniería Electrónica e Ingeniería Electromecánica

Laboratorio de Electrónica Digital Área de Ingeniería Mecatrónica Carrera/programa de Ingeniería Electrónica e Ingeniería Electromecánica Programa del curso MT-4002 Laboratorio de Electrónica Digital Área de Ingeniería Mecatrónica Carrera/programa de Ingeniería Electrónica e Ingeniería Electromecánica I parte: Aspectos relativos al plan

Más detalles