ESTRUCTURA DE COMPUTADORES

Tamaño: px
Comenzar la demostración a partir de la página:

Download "ESTRUCTURA DE COMPUTADORES"

Transcripción

1 Departamento de Tecnología Electrónica ESCUELA TÉCNICA SUPERIOR DE INGENIERÍA INFORMÁTICA 1º Ingeniería Informática ESTRUCTURA DE COMPUTADORES Enunciados de Prácticas de Laboratorio Práctica: Análisis del contenido de la ROM de un cartucho de la videoconsola Atari Feb pracatari_25feb08.fm

2 Nota importante: La presentación del estudio teórico escrito es obligatoria para la realización de la práctica. Se presentará un estudio por persona y se entregará al profesor durante la sesión de prácticas. El estudio ha de dar respuesta a cada una de las cuestiones planteadas en el punto 2. Debe ser detallado, completo, claro y bien presentado. El profesor podrá realizar preguntas o pedir aclaraciones sobre el estudio teórico realizado. 1. OBJETIVOS Esta práctica tiene tres objetivos principales: 1. Introducir el manejo del analizador lógico. Este es un nuevo instrumento de laboratorio 1, que facilita enormemente el testado de circuitos y sistemas digitales que poseen un alto número de entradas y salidas. En nuestro laboratorio disponemos de analizadores lógicos sobre PC, esto es, una tarjeta de adquisición y un programa sobre PC que funcionan como tal analizador. Actualmente hay dos modelos diferentes, el LA-2124 (está en el laboratorio G1.30) y el LA-2132 (está en el laboratorio G1.32). 2. Realizar el testado a nivel lógico de un sistema digital sencillo, en concreto, de la ROM de un juego para una consola Atari Usar un emulador de Atari 2600 que permite jugar en el PC sin necesidad del Atari El propio acceso al juego es una comprobación experimental de que la práctica se ha desarrollado con éxito. 1. Ver la Sección 7 del manual Introducción al Laboratorio de Electrónica Digital (C. Baena, J. I. Escudero, Mª P. Parra y M. Valencia), publicado para Fundamentos de Computadores (I I e ITIS) y Estructura y Tecnología de Computadores (ITIG), Dpto. de Tecnología Electrónica. Pág. 2

3 2. ESTUDIO TEÓRICO Antes de responder a las cuestiones del estudio teórico, deberá leer el estudio experimental (sección 3. del presente documento). Como verá, en esta práctica va a manejar una placa que contiene los circuitos que van a ser testados, entre ellos la ROM de Atari. Para hacer que esta placa funcione, necesitará el instrumental habitual: fuente de tensión para suministrar la alimentación a los circuitos y generador de señales para generar la señal de reloj del circuito. Por otra parte, para observar las señales digitales del circuito, se usará el analizador lógico que, obviamente, ha de ser conectado a la placa. La conexión se hace a través de unas sondas o cables. El analizador lógico opera en dos fases: primero, adquiere el valor lógico de las señales y los almacena en una memoria; después, representa estos valores en un monitor mediante una herramienta que facilita los recursos de visualización y medida. Por último, para poder jugar, utilizará un programa de emulación que, a partir de los datos adquiridos, los ejecuta en el PC como si se tratase de una consola Atari Escriba el orden en que debe hacer las siguientes tareas. Debe resaltar si hay alguna que necesariamente deba ser hecha antes de otra: Activar el programa del analizador lógico. Activar el programa del emulador. Adquirir los valores de las señales. Alimentar, si se necesita, el analizador lógico. Alimentar la placa y hacerle llegar la señal de reloj. Configurar el analizador lógico. Encender el PC. Generar las señales adecuadas de reloj y de alimentación. Hacer las conexiones entre placa y analizador lógico. Hacer las conexiones entre PC y analizador lógico. Insertar la ROM de Atari en la placa. Jugar al juego de Atari. 2. Las ROMs de Atari son de 4KB, esto es, bits. Para comprobar el funcionamiento de la ROM en el laboratorio se realizará una lectura de todas sus 4Kposiciones de memoria. Esto se consigue generando todas las posibles direcciones de memoria, desde 0 hasta 4095 ($000 a $FFF en hexadecimal). El circuito utilizado (Figura 1) utiliza tres contadores comerciales Pág. 3

4 P1 Q10 Q00 CE U/D Q20 Q30 P0 CP RC TC PL P2 P3 P1 Q11 P0 Q01 CP CE RC U/D TC Q21 PL Q31 P2 P3 P0 CP RC TC PL P2 P3 P1 Q12 Q02 CE U/D Q22 Q CLK Q31 Q21 Q11 Q01 Q30 Q20 Q10 Q00 CLK A7 A6 A5 A4 A3 A2 A1 A0 O0 O1 O2 ROM A8 A9 OE CS A10 A11 O7 O6 O5 O4 O3 Q02 Q12 Q22 Q32 A11 A10 A9 A8 A7 A6 A5 A4 A3 A2 A1 A0 D7 D6 D5 D4 D3 D2 D1 D0 Figura 1 Conexionado de la placa conectados en cascada, para generar las entradas de dirección de la ROM. Dibuje de forma simple el circuito de la Figura 1 mostrando un único contador equivalente, con su entrada de cuenta, sus salidas de estado de cuenta y las salidas de fin de ciclo TC0,1,2 (llamadas FC0,1,2 en la Figura 2, que se activan a 1 cuando su contador vale 1111). 3. Escriba las secuencias de valores de cuenta (en hexadecimal) y de TC2, TC1 y TC0 durante 10 ciclos de reloj si el valor inicial de cuenta es: 3.1. $ $1ED 3.3. $FFD 4. Suponga que los contenidos de las 5 posiciones de ROM más bajas ($000, $001,...,$004) son respectivamente $00, $FF, $37, $A9 y $1D y que el contenido de la posición de memoria más alta es $00. Considere $FFF como estado inicial de los contadores. Dibuje un cronograma con más 5 ciclos de reloj mostrando la secuencia de datos que tienen lugar. 5. Sobre la secuencia de datos anterior, dibuje los instantes de adquisición del analizador lógico si la adquisición ocurre con el flanco de bajada del reloj de la placa y pinte los valores adquiridos por el analizador. Repita si la adquisición es con el flanco de subida. 2. En ese circuito cada es un contador ascendente de 4 bits. Las señales activadas son CE (habilitación) y U/D (modo Up); la señal de cuenta es CP (Clock Pulse) y es activa en el flanco de subida; TC es una salida fin de ciclo (se activa en 1111) y RC (Ripple Clock) deja pasar el último semiciclo de reloj cuando TC está activa. Las entradas P3-0, que son las de carga en paralelo (PL: Parallel Load), están desactivadas. Pág. 4

5 3. ESTUDIO EXPERIMENTAL Instrumental y componentes: Analizador lógico, generador de ondas, fuente de alimentación, placa con los contadores y tarjeta de cartucho de Atari Montaje del circuito e instrumental. a) Realice el conexionado de la placa (ver sección 3.2 en la página 6) con los diferentes equipos del laboratorio (analizador lógico, fuente de alimentación y generador de ondas). (Recuerde que Vcc = +5V y que la señal de reloj debe oscilar entre 0V y 5V). b) Configure el analizador de forma apropiada para realizar el testado de la ROM (ver sección 3.2 en la página 6). 2. Compruebe que el circuito opera correctamente visualizando las formas de onda de las señales de entrada y salida de la ROM en el analizador lógico. 3. Realice un volcado de los datos leidos y compruebe que se corresponden con un programa de Atari 2600 como se detalla en las secciones 3.2 y DESCRIPCIÓN DE LA PLACA La placa disponible en el laboratorio tiene la estructura mostrada en la Figura 2. Se observa que externamente consta de: Un conector donde se conecta la tarjeta con la ROM donde está el juego de Atari. La ROM está configurada de forma que siempre está activa en el modo de lectura de manera que en las salidas (señales de D 7 hasta D 0 ) aparece el contenido de la palabra direccionada. Tres contadores de 4 bits (C.I ) que están conectados en cascada formando un contador módulo 4096, cuyas 12 salidas proporcionan las entradas de la ROM. Con ellas se barre todo el rango de direcciones de la ROM. La ROM tiene escritas las instrucciones y datos del programa del correspondiente juego de Atari Un conjunto de puntos de conexión para la alimentación, la señal de reloj, las de fin de ciclo (FC2, FC1 y FC0) y las entradas y salidas de la ROM. Con ellos se pueden conectar con facilidad las sondas del analizador lógico a las señales de interés. Pág. 5

6 D0 D1 D2 D3 D4 D5 D6 D7 CONECTOR DE LA ROM CLK A0 A1 A2 A3 A4 A5 A6 A7 A8 A9 A10 A FC0 FC FC2 Figura 2 Circuito implementado en la placa 3.2 DESARROLLO EXPERIMENTAL DE LA PRÁCTICA El objetivo es realizar el volcado de la ROM completa, visualizando en el analizador tanto las señales de fin de cuenta de los contadores como la salida de la ROM. Para conseguir este fin se procederá de la siguiente forma: En primer lugar se extraerá la ROM del cartucho y se insertará en el zócalo de la placa. ES MUY IMPORTANTE NO INSERTARLA AL REVÉS: La cara con el chip de la ROM debe estar orientada hacia los pines de datos D0-D7. A continuación se realizarán las conexiones conectando los terminales del circuito bajo test a las sondas del sistema de adquisición de datos del analizador que, por otro lado, irá conectado al ordenador. El conexionado debe realizarse antes de alimentar la placa con la fuente de continua. Se dispone de dos tipos de analizadores: LA-2124, que se conecta al PC a través del puerto paralelo y que requiere una fuente de alimentación externa. El orden de las conexiones con la placa será de de la Tabla I: a cada terminal de la sonda se asocia el color de su cable (NE es negro; GR, gris; etc.) y la correspondiente señal de la placa según la Figura 2. Pág. 6

7 Terminal de la sonda CLK Color del cable NE GR AM VE MA RO NA BL VI AZ NE NA NE Terminal de la placa D0 D1 D2 D3 D4 D5 D6 D7 FC0 FC1 FC2 CLK Terminal de la sonda Color del cable GR AM VE MA RO VI NA RO MA VE AM GR Terminal de la placa A0 A1 A2 A3 A4 A5 A6 A7 A8 A9 A10 A11 Tabla I Conexiones con el sistema de adquisición LA-2124 LA-2132, que se conecta al PC a través del puerto USB y no requiere fuente de alimentación adicional. El orden de las conexiones se muestra en la Tabla II. Terminal de la sonda Color del cable MARONAAMVEAZVIGR Terminal de la placa D0 D1 D2 D3 D4D5D6D7 Terminal de la sonda Color del cable MARONAAMVEAZVIGRMARO NA AM VE AZ VI NE GR Terminal de la placa A0 A1 A2 A3 A4 A5A6 A7 A8 A9 A10A11FC0FC1FC2CLK Tabla II Conexiones con el sistema de adquisición LA-2132 La señal de reloj de la placa debe variar entre 0 y 5 voltios y tener una frecuencia aproximada de 100 Khz. Tras el conexionado se comprueba la tensión de alimentación (5V), se ajusta el limitador de intensidad y, después, se conecta la alimentación a la placa. Con lo anterior el circuito ya está leyendo cíclicamente el bloque de 4K de la ROM. A continuación tenemos que ejecutar el software del analizador. El procedimiento a seguir depende del modelo del mismo (LA-2124 en el aula G1.30 y LA-2132 en el aula G1.32) Ejecución y manejo del software del LA-2124 Hay que tener en cuenta que antes de la ejecución debemos conectar el analizador a su fuente de alimentación y al puerto paralelo del PC. Tras esto arrancamos el Windows 98 y pulsamos sobre el icono LA A grandes rasgos, la ventana de aplicación presenta un marco superior que contiene los distintos menús (File, View, Serial,...), una fila de comandos u opciones que se pueden ejecutar (Salvar, Zoom,...) y el resto de la pantalla que está dividida en tres bloques: Pág. 7

8 * La esquina superior izquierda donde se encuentran los controles de los 5 cursores/ marcadores que tiene el sistema. * La ventana superior derecha donde aparece la evolución de los datos adquiridos en los distintos canales de adquisición de datos en formato lista. * La ventana inferior que está dedicada a presentar los datos adquiridos en los distintos canales de adquisición de datos como formas de ondas. Debemos visualizar las señales de la placa con el analizador lógico. Como paso previo, estableceremos las condiciones de trabajo para la adquisición y representación de sus valores: Para ello tendremos que: - Establecer que la adquisición de datos vaya sincronizada con el flanco de bajada del reloj externo (el del sistema). (Menú Clock) - Establecer la agrupación de canales. Se crearán tres grupos. El primero, llamado 'contenido', estará constituido por los 8 bits correspondientes a la salida de la ROM. El segundo, llamado dirección estará constituido por los 12 bits correspondientes a las entradas de dirección de la ROM. El tercero, llamado 'fincuenta' contendrá las señales de fin de cuenta de cada uno de los tres contadores. (Menú State -> Group Define) Asimismo, se requiere que la representación de los datos se realice en hexadecimal para los dos primeros grupos y en binario para el tercero (úsese statelist setup). (Menú State -> statelist setup) - Establecer la condición de disparo del analizador lógico (momento a partir del cual el analizador comenzará su adquisición de datos). En nuestro caso queremos que el disparo ocurra justo después de que se activen todas las señales de fin de cuenta. Para ello debemos poner a 1 las señales FC2-0 y marcar lo opción Trigger false. (Menú Trigger-Trigger word) Pág. 8

9 En general, antes de proceder a la adquisición de los datos especificaremos, por un lado, que la memoria donde se irán guardando estos datos adquiridos sea de 128K (Menú Clock). Y por otro lado, que el modo de adquisición sea único (Menú Trigger - Mode - Single). Una vez modificados todos estos menús se puede pasar a la adquisición de los datos. Para ello pulse la tecla (Go) y comenzará la adquisición al ritmo marcado por el reloj. Tras finalizar la adquisición aparecerán actualizadas tanto el listado de las líneas como las distintas formas de ondas en la pantalla. Para guardar los datos leídos de la ROM en un fichero operaremos como sigue: - Situamos el cursor A sobre la misma muestra que el cursor de disparo (por defecto la muestra número 10). - El cursor B se sitúa en la posición 4105 (10. De esta forma, el curso B está 4095 (10 muestras 3 detrás del cursor A, ya que éste está sobre la muestra Seleccionamos en el menú File-Export. - Seleccionamos el grupo 'contenido', modo binario, inicio en cursor A y fin en cursor B. - Tras pulsar ok seleccionamos un directorio (por ejemplo c:\roms ) y elegimos un nombre de archivo. Conviene que el nombre tenga menos de 8 caracteres para acceder a él directamente desde la línea de comandos. Para comprobar el programa en un emulador de Atari 2600 debemos convertir el archivo a binario. Para ello desde la línea de comandos del PC ejecutamos: cd directorio_con_el_fichero_salvado csv2bin archivo_original.csv fichero_salida A continuación se usará el emulador Stella (sección 3.3) Manejo del software del LA-2132 Hay que tener en cuenta que antes de la ejecución debemos conectar el analizador al puerto USB del PC. El programa del LA2132, disponible en Windows XP, está preparado 3. Las 4Kdirecciones (4096 (10 ) abarcan desde la posición 0 hasta la 4095 (10. Pág. 9

10 también para la versión LA-2164, por lo que se ejecuta pulsando sobre el icono LA-2164 diponible en el escritorio. A grandes rasgos, la ventana de aplicación presenta un marco superior que contiene los distintos menús (File, View, Timing,...), una fila de comandos u opciones que se pueden ejecutar (Go, Stop,...) y una ventana dividida en dos partes: * La parte superior contiene los controles de los cursores/marcadores eel sistema, los controles de zoom y una barra para desplazarnos a lo largo de las capturas. * La parte inferior está dedicada a presentar los datos capturados en los distintos canales de adquisición. Antes de adquirir y visualizar las señales de entrada y salida de la ROM debemos establecer las condiciones de trabajo. Para ello pulsamos con el botón derecho sobre la ventana inferior y, en la ventana recién aparecida, seleccionamos las siguientes opciones: - En la entrada Trig Word (en la que aparecen los canales en el orden 31, 30, 29,.., 0), escribimos 1 en las posiciones correspondientes a los canales 22, 21 y 20, es decir, los canales correspondientes a las señales de fin de cuenta de los contadores. El analizador no comenzará a capturar los datos hasta que el valor de los contadores coincida con esta entrada (palabra de disparo), es decir, hasta que la salida de los contadores no sea $FFF. - Para establecer que la adquisición de datos vaya sincronizada con el flanco de bajada del reloj externo (el de nuestra placa), en la entrada Source seleccionamos External falling. - Para que el tamaño de la captura sea de 8K muestras seleccionamos 8K en la entrada Memory. - Para que realice una sola captura seleccionamos Single en la entrada Acquire. Tras cerrar la ventana emergente establecemos la agrupación de canales en el menú View -> Group edit. Para cada grupo de canales hay que establecer su nombre (entrada Name ), base en la que se visualizará el valor de sus canales (entrada Base ), número de canales que lo forman (entrada Number ) y cuáles son dichos canales. Para cambiar de grupo se pulsa sobre las flechas de la parte superior de la ventana. Se crearán tres grupos. El primero, Pág. 10

11 llamado 'contenido', estará constituido por los 8 bits correspondientes a la salida de la ROM y se mostrará en hexadecimal. El segundo, llamado dirección estará constituido por los 12 bits correspondientes a las entradas de dirección de la ROM y se mostrará también en hexadecimal. El tercero, llamado 'Fin Cuenta' contendrá las señales de fin de cuenta de cada uno de los tres contadores y se mostrará en binario. Una vez modificados todos estos menús se puede pasar a la adquisición de los datos. Para ello pulse la tecla (Go) y comenzará la adquisición al ritmo marcado por el reloj. Tras finalizar la adquisición aparecerán actualizadas tanto el listado de las líneas como las distintas formas de ondas en la pantalla. Para guardar los datos leidos de la ROM en un fichero operaremos como sigue: - Seleccionamos en el menú File-Save data-save data as. - Elegimos un directorio (por ejemplo c:\roms ) y elegimos un nombre de archivo. Conviene que el nombre tenga menos de 8 caracteres para acceder a él directamente desde la línea de comandos. Para comprobar el programa en un emulador de Atari 2600 debemos extraer del archivo recien guardado los datos correspondientes al contenido de la ROM. Para ello desde la línea de comandos del PC ejecutamos: cd directorio_con_el_fichero_salvado dso2bin archivo_original.dso fichero_salida 3.3 COMPROBACIÓN DEL VOLCADO Finalmente comprobaremos el volcado con el emulador Stella. Para ello: a) Se pulsa sobre su icono, que está en el escritorio. b) Se hace doble click sobre el fichero creado y, si todo ha ido bien, se juega un ratillo. Las teclas básicas son: F2 (start, comienzo de partida), espacio (disparo), y los cursores. Pág. 11

12 Departamento de Tecnología Electrónica ESCUELA TÉCNICA SUPERIOR DE INGENIERÍA INFORMÁTICA 1º Ingeniería Informática ESTRUCTURA DE COMPUTADORES Enunciados de Prácticas de Laboratorio Práctica: Testado de un multiplicador combinacional 4x4 con el analizador lógico 26 - Feb MultiplicadorCombinacional_0708.fm

13 Nota importante: La presentación del estudio teórico escrito es obligatoria para la realización de la práctica. Se presentará un estudio por persona y se entregará al profesor durante la sesión de prácticas. El estudio ha de dar respuesta a cada una de las cuestiones planteadas en el punto 2. Debe ser detallado, completo, claro y bien presentado. El profesor podrá realizar preguntas o pedir aclaraciones sobre el estudio teórico realizado. 1. OBJETIVOS Esta práctica tiene los siguientes objetivos: 1. Progresar y adquirir destreza en el manejo del analizador lógico. En concreto, se experimentarán las posibilidades que ofrecen las diferentes formas de adquisisción de datos en el analizador lógico. 2. Operar con un multiplicador de dos números binarios de 4 bits implementado por el método look-up-table (LUT) 1. El método LUT es una estructura de datos que permite sustituir la computación de un algoritmo que consume mucho tiempo, en nuestro caso la multiplicación, por una simple mirada en esa estructura de datos. En este caso se utilizará una ROM para almacenar los resultados de la multiplicación de los dos números. 3. Realizar el testado de un sistema digital sencillo cuya operación se conoce. En particular, habrá de encontrarse, si los hay, fallos en el LUT. 4. Medir de forma aproximada tiempos de propagación y frecuencias máximas de operación. 1. El famoso bug en el procesador P6 (primera versión del Pentium Pro) de Intel fue un error de un bit en el LUT de la unidad de punto flotante. Pág. 2

14 P1 Q11 Q01 CE U/D Q21 Q31 P1 Q10 Q00 CE U/D Q20 Q30 P0 CP RC TC PL P2 P P0 CP RC TC PL P2 P3 Ck Q31 Q21 Q11 Q01 Q30 Q20 Q10 Q00 Ck A7 A6 A5 A4 A3 A2 A1 A0 O0 O1 O2 ROM 28C16A A8 A9 WE OE A10 CE O7 O6 O5 O4 O3 B3 B2 B1 B0 A3 A2 A1 A0 O7 O6 O5 O4 03 O2 O1 O0 Figura 1 Conexionado de la placa 2. ESTUDIO TEÓRICO Considere la implementación de un multiplicador combinacional de dos números A y B de 4 bits basándose en una ROM de 2 8 palabras de 8 bits. En 4 de las líneas de dirección va el factor A y en las otras 4 el factor B. En la palabra direccionada estará escrito el resultado del producto A B. Para comprobar el funcionamiento del multiplicador se realizará un testado exhaustivo: se analizarán todos los posibles productos A B, variando A y B desde ambos a 0 hasta ambos a 15 ($F en hexadecimal). Observe que el circuito de la Figura 1 utiliza dos contadores 74191, cada uno mód. 16 y disparados por flanco de subida. Ambos contadores están conectados en cascada formando un contador módulo 256, cuyas 8 salidas ($H 1 H 0 ) proporcionan las entradas A y B de ambos factores binarios con las cuales se barre todo el rango de multiplicación posible. En cada dirección $H 1 H 0, la EPROM utilizada tiene escrito, salvo error, el valor de la multiplicación H 1 * H Dé una tabla con el contenido correcto que debe tener ROM, preferiblemente en hexadecimal. 2. Considere $5D como estado inicial de los contadores. Dibuje un cronograma con los valores de los contadores y de la salida de la EPROM durante 5 ciclos de reloj. 3. Vuelva a dibujar ese cronograma suponiendo que el retraso de cada contador es C y el de la EPROM es EP ( EP > C ), siendo EP mucho menor que el período de reloj, T Ck. Pág. 3

15 4. Si la adquisición de datos del analizador se realiza con el flanco negativo del reloj del circuito multiplicador, indique qué datos adquiere el analizador para el caso Considere que la frecuencia del reloj externo (C k del multiplicador) es de 10 KHz. Indique qué datos adquiere el analizador para el caso 2, si hace la adquisición con su reloj interno a una frecuencia de: a) 10 KHz b) 20 KHz 6. Complete el cronograma siguiente con lo que adquiere el analizador lógico si la captura de datos la hace con el reloj interno operando a 100 MHz. A la vista de dicho cronograma, indique entre qué límites se encontraría el retraso del multiplicador y el de la EPROM: Ck ext [CONT] $49 $4A [$CONT] $24 $28 Ck int ContA ContB AxB ROM 7. Suponga que en el Analizador Lógico sólo se almacenan 2Kmuestras. Si el reloj externo es, p. ej., de 10 KHz y la captura se hace con el reloj interno a 100 MHz, cuántos resultados de multiplicación distintos podrá recoger el Analizador Lógico? Manteniendo esas condiciones de operación, es posible medir el tiempo de retraso utilizando adecuadamente la condición de disparo (palabra de disparo, Trigger word) del Analizador Lógico, ya que esto permite seleccionar el instante en que se empiezan a muestrear los datos (recuérdese la Práctica 1). Qué condición de disparo se debe poner en el Analizador Lógico para medir el retraso en la multiplicación del caso siguiente: $5 $A? Pág. 4

16 3. ESTUDIO EXPERIMENTAL Instrumental y componentes: Analizador lógico, generador de ondas, fuente de alimentación, placa con el multiplicador 4x4. Para realizar esta parte, lea las secciones 4. y Montaje del circuito e instrumental. a) Realice el conexionado de la placa con los diferentes equipos del laboratorio (analizador lógico, fuente de alimentación y generador de ondas, véase sección 4.). Recuerde que Vcc=+5V y que la señal de reloj debe oscilar entre 0V y 5V. b) Configure el analizador apropiadamente (véase sección 5.). 2. Realice el test del multiplicador para comprobar que el circuito opera correctamente. a) Compare todos los valores obtenidos con su tabla anotando los errores, si los hay. b) Visualice las formas de onda de las señales de entrada y salida del multiplicador en el analizador lógico. 3. Cambie el modo de adquisición de datos en el analizador lógico utilizando ahora un reloj interno y verifique experimentalmente su respuesta al punto 5. de su estudio teórico. Además, obtenga una medida aproximada del tiempo de propagación del multiplicador (vea el punto 7. de su estudio teórico). 4. Vuelva al modo de adquisición con el flanco de bajada de CK ext, pero elija ahora el modo de disparo Auto. Aumente la frecuencia de operación de CK ext probando si se alcanza el límite máximo de buena operación observando los datos capturados: a) En la representación Formas de ondas b) En la representación Tabla de valores 5. Use libremente el analizador lógico. (Explore sus distintas opciones de representación y medida.) 4. DESCRIPCIÓN DE LA PLACA Hay disponibles en el laboratorio varias placas ligeramente diferentes. En cada una de ellas está implementado el circuito multiplicador de dos números binarios de 4 bits cada uno. Pág. 5

17 Analizando la estructura de su placa (p. ej., la de la figura 2) observará que externamente consta de un conjunto de puntos de conexión (para la alimentación, para la señal de reloj y para las entradas y salidas del multiplicador) y tres circuitos integrados; una EPROM (C.I. 28C16A o C.I. 27C128) y dos contadores de 4 bits (C.I ). En la EPROM es donde está implementado el multiplicador de 4x4, como un circuito combinacional de 8 entradas ($H 1 H 0 ) y 8 salidas (H 1 *H 0 ). La EPROM está configurada de forma que siempre está activa en el modo de lectura de manera que en las salidas (señales de O 7 hasta O 0 ) aparece el contenido de la palabra direccionada. La memoria está programada con los datos que corresponden a la operación de multiplicar dos números de 4 bits (i.e., B 3 B 2 B 1 B 0 x A 3 A 2 A 1 A 0 ). La placa está preparada para poder conectar con relativa facilidad las sondas del analizador lógico a las señales de entrada y salida del multiplicador (figura 2) CLK 28C16A B3 B2 B1 B0 A3 A2 A1 A0 O7 O6 O5 O4 O3 O2 O1 O0 Figura 2 Circuito implementado en la placa 5. DESARROLLO EXPERIMENTAL DE LA PRÁCTICA El objetivo es comprobar la operación de un multiplicador combinacional de dos números binarios de 4 bits, visualizando en la pantalla del ordenador tanto los datos de entrada, A y B, como el resultado de la multiplicación (AxB). Para conseguir este fin se procederá de la siguiente forma: En primer lugar se realizarán las conexiones conectando los terminales de la placa del circuito bajo test a los terminales del sistema de adquisición de datos del analizador que, por Pág. 6

18 otro lado, va conectado al ordenador a través del puerto paralelo. Para ello, estando la placa sin alimentación, se hacen dichas conexiones en el orden que se muestra en la siguiente tabla (contiene el número del terminal correspondiente): Sistema de adquisición Placa 00 A0 01 A1 02 A2 03 A3 04 B0 05 B1 06 B2 07 B3 Sistema de Placa adquisición 08 O0 09 O1 10 O2 11 O3 12 O4 13 O5 14 O6 15 O7 Sistema de adquisición CLK Placa CK Las conexiones deben hacerse SIN ALIMENTACIÓN A continuación se ejecuta el programa que simula al analizador. Para ello se enciende el PC (Windows 98) y se acciona el icono correspondiente (LA2124). La tarjeta de adquisición debe estar conectada al transformador y éste a la red eléctrica antes de accionar el icono LA2124. Tras esto se entra en el entorno gráfico del simulador. A grandes rasgos, la ventana de aplicación presenta un marco superior que contiene los distintos menús (File, View, Serial,...), una fila de comandos u opciones que se pueden ejecutar (Salvar, Zoom,...) y el resto de la pantalla que está dividida en tres bloques: * La esquina superior izquierda donde se encuentran los controles de los 5 cursores/ marcadores que tiene el sistema. * La ventana superior derecha donde aparece la evolución de los datos adquiridos en los distintos canales de adquisición de datos en formato lista. * La ventana inferior que está dedicada a presentar los datos adquiridos en los distintos canales de adquisición de datos como formas de ondas. Posteriormente, se alimentará la placa entre 0 y 5V en los pines correspondientes (véase Figura 2). Por otro lado, con el generador de funciones se obtendrá la señal CK, siendo ésta una señal periódica, cuadrada, entre 0 y 5V y de una frecuencia de aproximadamente 10KHz; es importante asegurarse de los niveles de 0 y 5V en esta señal antes de conectarla a la placa. Con ello a el circuito ya está operando como multiplicador, por lo que en adelante nos centraremos en cómo visualizar las señales con el analizador lógico. Pág. 7

19 El primer paso consiste en configurar el analizador lógico para establecer las condiciones de trabajo: - Establecer que la adquisición de datos vaya sincronizada con el flanco negativo del reloj externo (el de la placa). (Menú Clock) - Establecer la agrupación de canales. Se crearán tres grupos. El primero, llamado datoa, estará constituido por los cuatro bits correspondientes al dato de entrada A. El segundo, llamado datob, contendrá los cuatro bits correspondientes al dato de entrada B. Y el tercero, llamado AxB, lo formarán los ocho bits de salida del resultado de la multiplicación. (Menú State -> Group Define) - Representar los datos en hexadecimal. (Menú State -> statelist setup) - Establecer la condición de disparo del analizador lógico (momento a partir del cual el analizador comenzará su adquisición de datos). En nuestro caso queremos que el disparo ocurra cuando los datos de entrada, A y B, sean 0. (Menú Trigger -> Trigger word) En general, antes de proceder a la adquisición de los datos especificaremos, por un lado, que la memoria donde se irán guardando estos datos adquiridos sea de 2K (Menú Clock). Y por otro lado, que el modo de adquisición sea único (Menú Trigger - Mode - Single). A continuación se pasa a la adquisición de los datos: pulse la tecla (Go) y comenzará la adquisición al ritmo marcado por el reloj. Tras finalizar la adquisición aparecerán actualizadas tanto el listado de las líneas como las distintas formas de ondas en la pantalla. Compruebe los resultados obtenidos comparándolos con los que previamente obtuvo en el estudio teórico. Pág. 8

ESTRUCTURA DE COMPUTADORES

ESTRUCTURA DE COMPUTADORES Departamento de Tecnología Electrónica ESCUELA TÉCNICA SUPERIOR DE INGENIERÍA INFORMÁTICA 1º Ingeniería Técnica en Informática de Sistemas ESTRUCTURA DE COMPUTADORES Enunciados de Prácticas de Laboratorio

Más detalles

Uso del analizador lógico para testear un dispositivo de lectura de ROMs de Atari 2600

Uso del analizador lógico para testear un dispositivo de lectura de ROMs de Atari 2600 Estructura de Computadores 07/03/2011 1/9 Uso del analizador lógico para testear un dispositivo de lectura de ROMs de Atari 2600 Estructura de Computadores IMPORTANTE: La presentación del estudio teórico

Más detalles

Uso del analizador lógico para testear un dispositivo de lectura de ROMs de Atari 2600

Uso del analizador lógico para testear un dispositivo de lectura de ROMs de Atari 2600 DEPARTAMENTO DE TECNOLOGÍA ELECTRÓNICA ESCUELA TÉCNICA SUPERIOR DE INGENIERÍA INFORMÁTICA Uso del analizador lógico para testear un dispositivo de lectura de ROMs de Atari 2600 Enunciado de Práctica de

Más detalles

MANUAL DE MANEJO DEL ANALIZADOR LÓGICO LA-2124A

MANUAL DE MANEJO DEL ANALIZADOR LÓGICO LA-2124A MANUAL DE MANEJO DEL ANALIZADOR LÓGICO LA-2124A INDICE 1. Concepto de analizador lógico 1.1. Unidad de entrada 1.2. Memoria de adquisición 1.3. Unidad de control de adquisición 1.4. Unidad de visualización

Más detalles

ESTRUCTURA DE COMPUTADORES

ESTRUCTURA DE COMPUTADORES Departamento de Tecnología Electrónica ESCUELA TÉCNICA SUPERIOR DE INGENIERÍA INFORMÁTICA 1º Ingeniería Informática ESTRUCTURA DE COMPUTADORES Enunciados de Prácticas de Laboratorio Práctica 1: Análisis

Más detalles

Práctica nº 1. Analizador Lógico y Generador de Patrones Verificación del funcionamiento del circuito sumador 4008B.

Práctica nº 1. Analizador Lógico y Generador de Patrones Verificación del funcionamiento del circuito sumador 4008B. Grado en Ingeniería de Tecnologías de Telecomunicación. Escuela Técnica Superior de Ingeniería Industrial y de Telecomunicación. Electrónica Digital I. Práctica nº 1. Analizador Lógico y Generador de Patrones.

Más detalles

Analizador lógico: Análisis de ROM de Atari 2600

Analizador lógico: Análisis de ROM de Atari 2600 TUTORIAL PRÁCTICA 1 Analizador lógico: Análisis de ROM de Atari 2600 1. Introducción a la práctica Los sistemas digitales tienen muchas señales, por lo que probar su buen funcionamiento en el laboratorio

Más detalles

FUNDAMENTOS DE COMPUTADORES

FUNDAMENTOS DE COMPUTADORES Departamento de Tecnología Electrónica ESCUELA TÉCNICA SUPERIOR DE INGENIERÍA INFORMÁTICA 1º Ingeniería Informática FUNDAMENTOS DE COMPUTADORES Enunciados de las Prácticas de Laboratorio PROGRAMA 2007/2008

Más detalles

Práctica nº 10. Análisis de flip-flops.

Práctica nº 10. Análisis de flip-flops. Grado en Ingeniería de Tecnologías de Telecomunicación. Escuela Técnica Superior de Ingeniería Industrial y de Telecomunicación. Electrónica Digital I. Práctica nº 10. Análisis de flip-flops. En esta práctica

Más detalles

Práctica nº 1. Analizador Lógico y Generador de Patrones.

Práctica nº 1. Analizador Lógico y Generador de Patrones. Grado en Ingeniería de Tecnologías de Telecomunicación. Escuela Técnica Superior de Ingeniería Industrial y de Telecomunicación. Electrónica Digital I. Práctica nº 1. Analizador Lógico y Generador de Patrones.

Más detalles

ANÁLISIS DEL COMPORTAMIENTO DE LA VIDEOCONSOLA ATARI 2600 COMO SISTEMA DIGITAL REAL BASADO EN MICROPROCESADOR EN EL LABORATORIO DE ELECTRÓNICA

ANÁLISIS DEL COMPORTAMIENTO DE LA VIDEOCONSOLA ATARI 2600 COMO SISTEMA DIGITAL REAL BASADO EN MICROPROCESADOR EN EL LABORATORIO DE ELECTRÓNICA ANÁLISIS DEL COMPORTAMIENTO DE LA VIDEOCONSOLA ATARI 2600 COMO SISTEMA DIGITAL REAL BASADO EN MICROPROCESADOR EN EL LABORATORIO DE ELECTRÓNICA David Guerrero 1, Enrique Ostua 1, Manuel Jesús Bellido 1,

Más detalles

APUNTES DE INSTRUMENTACIÓN PARA ELECTRÓNICA DIGITAL

APUNTES DE INSTRUMENTACIÓN PARA ELECTRÓNICA DIGITAL APUNTES DE INSTRUMENTACIÓN PARA ELECTRÓNICA DIGITAL Fernando Peral Pérez Noviembre 2003 1 Índice La sonda lógica...3 El inyector lógico...4 El analizador lógico (PROMAX AL-320)...5 Características...5

Más detalles

FUNDAMENTOS DE COMPUTADORES

FUNDAMENTOS DE COMPUTADORES UNIVERSIDAD POLITÉCNICA DE MADRID ESCUELA TÉCNICA SUPERIOR DE INGENIERÍA DE SISTEMAS INFORMÁTICOS FUNDAMENTOS DE COMPUTADORES Laboratorio 7: Contadores Guion de Práctica Juan José Cuervas-Mons Elvira Vicente

Más detalles

SISTEMAS ELECTRÓNICOS DIGITALES

SISTEMAS ELECTRÓNICOS DIGITALES SISTEMAS ELECTRÓNICOS DIGITALES PRÁCTICA 6 SISTEMA DE ENCRIPTACIÓN 1. Objetivos - Estudio del funcionamiento de memorias RAM y CAM. - Estudio de métodos de encriptación y compresión de datos. 2. Enunciado

Más detalles

4 Ejemplo de aplicación

4 Ejemplo de aplicación 4 Ejemplo de aplicación A continuación se va a mostrar el proceso completo donde se refleja la funcionalidad del sistema que se ha desarrollado para realizar una Monitorización. El ejemplo va a consistir

Más detalles

Prácticas de Tecnología Electrónica. Tutorial de PSpice

Prácticas de Tecnología Electrónica. Tutorial de PSpice Prácticas de Tecnología Electrónica Iniciar PSpice y crear un proyecto Hacer clic en el menú Inicio Todos los programas PSpice Student Capture Student Figura 1: Vista inicial de PSpice Student. Una vez

Más detalles

Sistemas Elec. Digitales. Instrumentación del laboratorio. Pag. 1 1. INSTRUMENTACIÓN DEL LABORATORIO.

Sistemas Elec. Digitales. Instrumentación del laboratorio. Pag. 1 1. INSTRUMENTACIÓN DEL LABORATORIO. Sistemas Elec. Digitales. Instrumentación del laboratorio. Pag. 1 1. INSTRUMENTACIÓN DEL LABORATORIO. Sistemas Elec. Digitales. Instrumentación del laboratorio. Pag. 2 1.1. Fuente de alimentación CPS250

Más detalles

2.2. Simulación lógica de un circuito electrónico digital: puerta lógica 74LS00.

2.2. Simulación lógica de un circuito electrónico digital: puerta lógica 74LS00. Grado en Ingeniería de Tecnologías de Telecomunicación. Escuela Técnica Superior de Ingeniería Industrial y de Telecomunicación. Electrónica Digital I. Práctica nº 2. Simulador lógico Circuit Maker. 2.2.

Más detalles

5. Resultados experimentales

5. Resultados experimentales 5. Resultados experimentales Resultados experimentales 5.1 Montaje en la placa de pruebas Una vez que ya hemos visto como conectar los componentes, realizaremos el montaje en la placa de pruebas para,

Más detalles

Lógica Digital y Microprogramable

Lógica Digital y Microprogramable Examen final de prácticas Curso 2008/2009 Desarrollo de Productos Electrónicos Lógica Digital y Microprogramable * NOTA * No se calificará ningún apartado que no haya sido revisado Ejercicio 1 El circuito

Más detalles

Tutorial. Captura de esquemas y simulación lógica con la herramienta ISE

Tutorial. Captura de esquemas y simulación lógica con la herramienta ISE Tutorial Captura de esquemas y simulación lógica con la herramienta ISE Área de Arquitectura y Redes de Computadores Dpto. de Tecnologías y Sistemas de Información Escuela Superior de Informática 1 Objetivos

Más detalles

CIRCUITOS INTEGRADOS DE PUERTAS LÓGICAS

CIRCUITOS INTEGRADOS DE PUERTAS LÓGICAS CIRCUITOS INTEGRADOS DE PUERTAS LÓGICAS CIRCUITOS COMBINACIONALES INTEGRADOS CIRCUITOS INTEGRADOS SECUENCIALES: FLIP-FLOPS, REGISTROS Y CONTADORES CONSEJOS PARA LA ELABORACIÓN DE DIAGRAMAS LÓGICOS DE CIRCUITOS

Más detalles

POWER POINT Tema 1. Para salir de PowerPoint (suponiendo que se tiene abierto) puede:

POWER POINT Tema 1. Para salir de PowerPoint (suponiendo que se tiene abierto) puede: POWER POINT Tema 1 Aula Municipal de Informática-El Puerto de Santa María Entrar en power point (con Windows 7) 1. Hacer clic con el ratón en el botón Inicio. 2. Clic en Todos los Programas. 3. Hacer clic

Más detalles

Net-LAN. Guía rápida del Kit inalámbrico NetLAN para Amper XAVI 7028.

Net-LAN. Guía rápida del Kit inalámbrico NetLAN para Amper XAVI 7028. Net-LAN Guía rápida del Kit inalámbrico NetLAN para Amper XAVI 7028. Índice 1. INTRODUCCIÓN...3 2. FUNCIONAMIENTO DEL KIT INALÁMBRICO PARA NETLAN...4 2.1 Instalación del configurador...4 2.2 Proceso de

Más detalles

Características principales

Características principales Redescubra esas viejas canciones con el reproductor de casete USB convirtiendo sus cintas en formato MP3 para usar en su computadora, ipod, ipad, iphone, reproductor MP3, MP4, MP5 o en el coche. El Reproductor

Más detalles

FTP y Telnet. FTP /// Protocolo de transferencia de archivos (protocol transfer files) :) TELNET /// Emulador de terminales en sistemas remotos.

FTP y Telnet. FTP /// Protocolo de transferencia de archivos (protocol transfer files) :) TELNET /// Emulador de terminales en sistemas remotos. FTP y Telnet La explicación va dirigida a usuarios con Microsoft Windows, ya que es el sistema operativo más usado, pero cabe decir que tanto en linux, UNIX, etc. FTP /// Protocolo de transferencia de

Más detalles

Manual de software VTH. Visualizador de Temperatura y Humedad. Fecha: 28/10/2013 Manual Software VTH v3.0

Manual de software VTH. Visualizador de Temperatura y Humedad. Fecha: 28/10/2013 Manual Software VTH v3.0 Manual de software VTH Visualizador de Temperatura y Humedad Fecha: 28/10/2013 Manual Software VTH v3.0 ÍNDICE 1. INSTALACIÓN... 1 2. SOFTWARE VTH... 1 3. COMUNICACIONES - CONEXIÓN DEL DISPOSITIVO... 1

Más detalles

DEPARTAMENTO DE INGENIERÍA INFORMÁTICA Y ELECTRÓNICA. UNIVERSIDAD DE CANTABRIA. Manual de Operación del Sistema de Análisis Lógico LA5240

DEPARTAMENTO DE INGENIERÍA INFORMÁTICA Y ELECTRÓNICA. UNIVERSIDAD DE CANTABRIA. Manual de Operación del Sistema de Análisis Lógico LA5240 DEPARTAMENTO DE INGENIERÍA INFORMÁTICA Y ELECTRÓNICA. UNIVERSIDAD DE CANTABRIA Manual de Operación del Sistema de Análisis Lógico LA5240 Índice 1. Introducción. 2 2. Pantalla de arranque. 5 3. Menú File.

Más detalles

PRÁCTICA 6. CIRCUITOS ARITMÉTICOS

PRÁCTICA 6. CIRCUITOS ARITMÉTICOS PRÁCTICA 6. CIRCUITOS ARITMÉTICOS 1. Objetivo El objetivo de esta práctica es estudiar un circuito aritmético y aprender cómo construir un componente básico en electrónica digital: el generador de reloj.

Más detalles

Servicio de Informática Vicerrectorado de Tecnologías de la Información y la Comunicación

Servicio de Informática Vicerrectorado de Tecnologías de la Información y la Comunicación Vicerrectorado de Tecnologías de la Información y la Comunicación MANUAL DE USUARIO Pág. 1/15 Tabla de contenido 1.- QUÉ ES italc?... 3 2.- LANZAR italc... 3 3.- CONFIGURACIÓN INICIAL... 3 4.- COMANDOS

Más detalles

CIRCUITOS ELECTRÓNICOS DIGITALES ESCUELA POLITÉCNICA SUPERIOR UNIVERSIDAD AUTÓNOMA DE MADRID

CIRCUITOS ELECTRÓNICOS DIGITALES ESCUELA POLITÉCNICA SUPERIOR UNIVERSIDAD AUTÓNOMA DE MADRID CIRCUITOS ELECTRÓNICOS DIGITALES ESCUELA POLITÉCNICA SUPERIOR UNIVERSIDAD AUTÓNOMA DE MADRID Guía de problemas: Aritmética de Computadores Parte 1: Operaciones básicas con lápiz y papel Problema 1: a)

Más detalles

2.1 PRÁCTICA 1: INTRODUCCIÓN A LAS PUERTAS LÓGICAS INTEGRADAS Y AL OSCILOSCOPIO DIGITAL

2.1 PRÁCTICA 1: INTRODUCCIÓN A LAS PUERTAS LÓGICAS INTEGRADAS Y AL OSCILOSCOPIO DIGITAL 8 2.1 PRÁCTICA 1: INTRODUCCIÓN A LAS PUERTAS LÓGICAS INTEGRADAS Y AL OSCILOSCOPIO DIGITAL OBJETIVOS Esta práctica es la toma de contacto del alumno con el laboratorio de Electrónica Digital. Con ella se

Más detalles

CURSO MICROSCOPÍA ÓPTICA Y LÁSER CONFOCAL SSTTI UA Octubre 2011 PRÁCTICA CON EL MICROSCOPIO CONFOCAL ESPECTRAL LEICA - TCS SP2

CURSO MICROSCOPÍA ÓPTICA Y LÁSER CONFOCAL SSTTI UA Octubre 2011 PRÁCTICA CON EL MICROSCOPIO CONFOCAL ESPECTRAL LEICA - TCS SP2 CURSO MICROSCOPÍA ÓPTICA Y LÁSER CONFOCAL SSTTI UA Octubre 2011 PRÁCTICA CON EL MICROSCOPIO CONFOCAL ESPECTRAL LEICA - TCS SP2 1 1. ARRANQUE DEL SISTEMA PANEL DE CONTROL Llave de encendido del láser de

Más detalles

Red Inalámbrica. Conexión a EDUROAM con Microsoft Windows 7.

Red Inalámbrica. Conexión a EDUROAM con Microsoft Windows 7. Los pasos para configurar y conectarse a la red inalámbrica eduroam de la UAM son los siguientes: 1. Requisitos previos para establecer conexión con la red eduroam 2. Descargar e Instalar el programa cliente

Más detalles

Práctica nº 1. Analizador Lógico y Generador de Patrones. 1.2. Verificación del funcionamiento del circuito sumador 4008B.

Práctica nº 1. Analizador Lógico y Generador de Patrones. 1.2. Verificación del funcionamiento del circuito sumador 4008B. Grado en Ingeniería de Tecnologías de Telecomunicación. Escuela Técnica Superior de Ingeniería Industrial y de Telecomunicación. Electrónica Digital I. Práctica nº 1. Analizador Lógico y Generador de Patrones.

Más detalles

SATHUNTER SATHUNTER. Alinear antenas será cosa de niños! DVB-S

SATHUNTER SATHUNTER. Alinear antenas será cosa de niños! DVB-S SATHUNTER Alinear antenas será cosa de niños! DVB-S2 DVB-S Si usted ha comprado un SATHUNTER, esta presentación le ayudará a comenzar a usar su unidad. Si no tiene uno todavía, Esperamos que le ayude a

Más detalles

ST-8502 ACTUALIZACIÓN ANUAL.

ST-8502 ACTUALIZACIÓN ANUAL. ST-8502 ACTUALIZACIÓN ANUAL www.fbelectronica.com ACTUALIZACIONES ANUALES DEL EQUIPO Anualmente es necesario habilitar el equipo para poder descargar y trabajar con nuevas actualizaciones. Para realizar

Más detalles

Para finalizar el proceso de instalación de tu Livebox, sigue los 3 pasos que te mostramos a continuación:

Para finalizar el proceso de instalación de tu Livebox, sigue los 3 pasos que te mostramos a continuación: 1/10 Para finalizar el proceso de instalación de tu Livebox, sigue los 3 pasos que te mostramos a continuación: Puedes conectarte de tres formas (revisa que tipo de sistema operativo tiene tu equipo y

Más detalles

1. Comprobación de la direccion IP y configuración para que obtenga la dirección automáticamente.

1. Comprobación de la direccion IP y configuración para que obtenga la dirección automáticamente. Los pasos a seguir son: 1. Comprobación de la dirección IP y configuración para que obtenga la dirección automáticamente. 2. Instalación del programa cliente SecureW2 3. Conexión al SSID eduroam de la

Más detalles

PRÁCTICA 4: INTRODUCCIÓN A LA SIMULACIÓN Y A LA

PRÁCTICA 4: INTRODUCCIÓN A LA SIMULACIÓN Y A LA PRÁCTICA 4: INTRODUCCIÓN A LA SIMULACIÓN Y A LA IMPLANTACIÓN FÍSICA CON QUARTUS II V. 9.0 OBJETIVOS Al finalizar la práctica el alumno ha de ser capaz de: Simular un circuito usando la herramienta Quartus

Más detalles

Manual del generador del Libro del Edificio. La siguiente imagen muestra la pantalla de trabajo de la herramienta, dividida en tres áreas.

Manual del generador del Libro del Edificio. La siguiente imagen muestra la pantalla de trabajo de la herramienta, dividida en tres áreas. Manual del generador del Libro del Edificio El generador del libro del Edificio es una herramienta informática que permite la elaboración del libro de cualquier edificio de viviendas de modo sencillo y

Más detalles

MANUAL WinPoET 1/31. MANUAL WinPoET

MANUAL WinPoET 1/31. MANUAL WinPoET 1/31 MANUAL WinPoET Mayo 2006 1/31 INDICE 1. Introducción... 2 2. Windows 2000, 98 y ME - Instalación y configuración del WinPoET... 3 3. Windows XP Configuración de la conexión... 10 4. Windows XP - Comprobación

Más detalles

Dentro de las funciones básicas de un procesador de textos están; escribir, guardar e imprimir documentos.

Dentro de las funciones básicas de un procesador de textos están; escribir, guardar e imprimir documentos. Elementos de la ventana del procesador de texto Para iniciar el procesador de textos Word de Microsoft en el sistema operativo Windows, debes llevar a cabo los siguientes pasos. 1. 2. 3. 4. Das clic en

Más detalles

ACTEON Manual de Usuario

ACTEON Manual de Usuario SUBDIRECCIÓN GENERAL DE TECNOLOGÍAS DE LA INFORMACIÓN Y DE LAS COMUNICACIONES Referencia: ACT(DSI)MU01 Nº Versión: 1.00 Fecha: ÍNDICE 1. INTRODUCCIÓN...3 1.1. OBJETO DE ESTE DOCUMENTO... 3 1.2. ALCANCE...

Más detalles

Cuestiones. Estructura y Tecnología de Computadores (IG09) 1 er Parcial 12 de sept. de 2002

Cuestiones. Estructura y Tecnología de Computadores (IG09) 1 er Parcial 12 de sept. de 2002 Cuestiones. Circuitos combinacionales.4 (a) Qué es un multiplexor y para qué sirve? Un multiplexor es un dispositivo que posee una sola salida, 2 n entradas de datos y n entradas de control. Este dispositivo

Más detalles

UNIVERSIDAD SIMON BOLIVAR DEPARTAMENTO DE ELECTRONICA Y CIRCUITOS LABORATORIO DE MEDICIONES ELECTRICAS EC 1281 PRACTICA Nº

UNIVERSIDAD SIMON BOLIVAR DEPARTAMENTO DE ELECTRONICA Y CIRCUITOS LABORATORIO DE MEDICIONES ELECTRICAS EC 1281 PRACTICA Nº UNIVERSIDAD SIMON BOLIVAR DEPARTAMENTO DE ELECTRONICA Y CIRCUITOS LABORATORIO DE MEDICIONES ELECTRICAS EC 1281 PRACTICA Nº 4 Objetivos EL OSCILOSCOPIO Comprender el principio de funcionamiento del osciloscopio

Más detalles

INTRODUCCIÓN A IMPRESS 1. INTRODUCCIÓN

INTRODUCCIÓN A IMPRESS 1. INTRODUCCIÓN X INTRODUCCIÓN A IMPRESS 1. INTRODUCCIÓN Se puede definir Impress como una aplicación dirigida fundamentalmente a servir de apoyo en presentaciones o exposiciones de los más diversos temas, proyectando

Más detalles

Programa de implantación de red de autobuses en región urbana Pág. 1. Este anexo contiene la guia de instalación y desinstalación del programa.

Programa de implantación de red de autobuses en región urbana Pág. 1. Este anexo contiene la guia de instalación y desinstalación del programa. Programa de implantación de red de autobuses en región urbana Pág. 1 Resumen Este anexo contiene la guia de instalación y desinstalación del programa. También contiene una completa guía de utilización

Más detalles

ELECTRONICS WORKBENCH

ELECTRONICS WORKBENCH PRÁTI : SIMULIÓN IRUITOS SUNILS ON LTRONIS WORKNH Ingeniería Técnica en Informática de Sistemas. Miguel Martínez Iniesta Juan ntonio Ruiz Palacios Tecnología de omputadores I. Manual de Prácticas INTROUIÓN

Más detalles

AUTOMATIZACIÓN INDUSTRIAL

AUTOMATIZACIÓN INDUSTRIAL Universidad Carlos III de Madrid Departamento de Ingeniería de Sistemas y Automática AUTOMATIZACIÓN INDUSTRIAL Práctica 1 Introducción a los autómatas programables Marzo 2008 Ramiro Diez Automatización

Más detalles

Manual de la central CC-132F Software v. 2.60

Manual de la central CC-132F Software v. 2.60 Manual de la central CC-132F Software versión 2.60 Manual de instalador Manual de la central CC-132F Software v. 2.60 Página i Índice 1. Descripción general... 1 2. Funciones y manejo... 1 2.1. Carpeta

Más detalles

Cosmos WorkShop. Software de actualización firmware Cosmos v2.2 rev5 Julio 2012

Cosmos WorkShop. Software de actualización firmware Cosmos v2.2 rev5 Julio 2012 Cosmos WorkShop Software de actualización firmware Cosmos v2.2 rev5 Julio 2012 MTS0006000 30/07/2012 ÍNDICE DE CONTENIDOS Índice de contenidos 2 Requisitos del sistema 3 Introducción 3 Instalación y configuración

Más detalles

MICROPROCESADOR DE 32 BITS.

MICROPROCESADOR DE 32 BITS. 1 Facultad: Ingeniería. Escuela: Electrónica. Asignatura: Microprocesadores. Lugar de ejecución: Microprocesadores (Edificio 3, 2da planta). INTRODUCCION AL TABLERO MICROPROCESADOR DE 32 BITS. DE CIRCUITOS

Más detalles

Luxómetro Registrador HD450 PRESENTACIÓN DEL SOFTWARE

Luxómetro Registrador HD450 PRESENTACIÓN DEL SOFTWARE Luxómetro Registrador HD450 PRESENTACIÓN DEL SOFTWARE Con este programa usted puede recolectar datos del medidor HD450 cuando el medidor está conectado a una PC y descargar los datos guardaos en la memoria

Más detalles

El programa no necesita ninguna instalación. Únicamente se debe ejecutar el fichero Modbus- Single.exe desde la carpeta en la cual lo haya guardado

El programa no necesita ninguna instalación. Únicamente se debe ejecutar el fichero Modbus- Single.exe desde la carpeta en la cual lo haya guardado Single Modbus Software para comunicación con contador de energía KWH1C32 Modbus-RTU / RS485 Características - Comunicación con hasta 255 contadores por puerto serie - Comunicación Modbus-RTU / RS485 -

Más detalles

1.1 Introducción. 1 Manual del usuario

1.1 Introducción. 1 Manual del usuario 1 1.1 Introducción El sistema desarrollado permite construir prototipos con el microprocesador PIC16F873 y está constituido por un componente hardware y otro software. El hardware lo constituye una placa

Más detalles

Guia Práctica e Informe Unidad 2: Métodos Experimentales

Guia Práctica e Informe Unidad 2: Métodos Experimentales FI1A2 - SISTEMAS NEWTONIANOS Semestre 2008-1 Profesores: Hugo Arellano, Diego Mardones y Nicolás Mujica Departamento de Física Facultad de Ciencias Físicas y Matemáticas Universidad de Chile Guia Práctica

Más detalles

Prof: Zulay Franco Puerto Ordaz, Agosto

Prof: Zulay Franco Puerto Ordaz, Agosto Contadores 2.1. Introducción Los contadores son aplicaciones clásicas de los flip-flop, es un dispositivo electrónico capaz de contar, en binario, el número de pulsos que llegan a su entrada de reloj.

Más detalles

ARQUITECTURA DE COMPUTADORES (2º ING. INFORMATICA) PRACTICA 3 (CURSO 2005/2006)

ARQUITECTURA DE COMPUTADORES (2º ING. INFORMATICA) PRACTICA 3 (CURSO 2005/2006) ARQUITECTURA DE COMPUTADORES (2º ING. INFORMATICA) PRACTICA 3 (CURSO 2005/2006) LA MEMORIA RAM DINÁMICA. OBJETIVOS: Se pretende, con la presente práctica, que el alumno tome contacto con la estructura,

Más detalles

ACTUALIZACION DEL FIRMWARE. DESCRIPCIÓN DEL PROCEDIMIENTO PARA LOS EQUIPOS PROLINK PREMIUM Y PRODIG-5 TV EXPLORER

ACTUALIZACION DEL FIRMWARE. DESCRIPCIÓN DEL PROCEDIMIENTO PARA LOS EQUIPOS PROLINK PREMIUM Y PRODIG-5 TV EXPLORER ACTUALIZACION DEL FIRMWARE. DESCRIPCIÓN DEL PROCEDIMIENTO PARA LOS EQUIPOS PROLINK PREMIUM Y PRODIG-5 TV EXPLORER 1.- EXIGENCIAS DE HARDWARE Y SOFTWARE a.- PC con Windows 98, 2000 o XP, Pentium 133 o superior,

Más detalles

7.- PRUEBAS REALIZADAS

7.- PRUEBAS REALIZADAS 7.- PRUEBAS REALIZADAS En este apartado se comentarán las distintas pruebas que se han llevado a cabo sobre la aplicación desarrollada, así como los resultaos obtenidos con las mismas. En primer lugar,

Más detalles

UNIDAD 1. writer PRIMEROS PASOS. CURSO: LibreOffice

UNIDAD 1. writer PRIMEROS PASOS. CURSO: LibreOffice UNIDAD 1 PRIMEROS PASOS CURSO: LibreOffice writer 1 La interfaz En primer lugar vamos a familiarizarnos con los componentes de la pantalla de writer: Barra de título: Muestra el título del documento (O

Más detalles

Práctica de laboratorio Creación de una red peer-to-peer

Práctica de laboratorio Creación de una red peer-to-peer Práctica de laboratorio 3.1.5 Creación de una red peer-to-peer Objetivos Diseñar y crear una red peer-to-peer simple mediante un cable cruzado suministrado por el instructor. Verificar la conectividad

Más detalles

Implementación de funciones lógicas. Sumador binario combinacional.

Implementación de funciones lógicas. Sumador binario combinacional. PRÁCTICA 2 Implementación de funciones lógicas. Sumador binario combinacional. Objetivos Después de realizar esta práctica, el alumno deberá: 1) Saber implementar cualquier función lógica en suma de minterms,

Más detalles

Preguntas frecuentes LW105H

Preguntas frecuentes LW105H Preguntas frecuentes LW105H 1.- No hay corriente y no enciende 2.- El grabador enciende pero no hay imagen 3.- El grabador enciende y aparece imagen pero no hay audio 4.- Las teclas del grabador no responden

Más detalles

Instrucciones para la descarga y actualización del Software Para el Lector de

Instrucciones para la descarga y actualización del Software Para el Lector de Instrucciones para la descarga y actualización del Software Para el Lector de Billetes QConnect KF14929 Ingrese en nuestra página https://www.liderpapel.com/ Usuario: lectores Contraseña: 12345 Descargas

Más detalles

El entorno de Word 2016

El entorno de Word 2016 El entorno de Word 2016 Elementos de la pantalla Al arrancar Word aparece una pantalla muy similar a la siguiente: La ventana de Word se puede personalizar, para cambiar las herramientas y botones que

Más detalles

COMO EMPEZAR... Proceso de conexión de la placa al ordenador:

COMO EMPEZAR... Proceso de conexión de la placa al ordenador: COMO EMPEZAR... Dentro de las múltiples versiones de LOGO existentes en el mercado, hemos elegido WINLOGO y MSWLOGO por varias razones: - Las primitivas están en español. - MSWLOGO es de libre distribución,

Más detalles

Microprocesador MS-1 de control de las lámparas LED Agropian System V 1.0 Varsovia 2016

Microprocesador MS-1 de control de las lámparas LED Agropian System V 1.0 Varsovia 2016 Microprocesador MS-1 de control de las lámparas LED Agropian System V 1.0 Varsovia 2016 Descripción técnica Instrucciones de instalación y operación AVISO IMPORTANTE! Antes de trabajar con el microprocesador

Más detalles

CONTROLES ELÉCTRICOS PRÁCTICA 6: PROGRAMACIÓN DE PLC UNIDAD 5 LIRA MARTÍNEZ MANUEL ALEJANDRO DOCENTE: PACHECO HIPÓLITO JAVIER

CONTROLES ELÉCTRICOS PRÁCTICA 6: PROGRAMACIÓN DE PLC UNIDAD 5 LIRA MARTÍNEZ MANUEL ALEJANDRO DOCENTE: PACHECO HIPÓLITO JAVIER CONTROLES ELÉCTRICOS PRÁCTICA 6: PROGRAMACIÓN DE PLC UNIDAD 5 LIRA MARTÍNEZ MANUEL ALEJANDRO DOCENTE: PACHECO HIPÓLITO JAVIER ENTREGA: 26/11/2010 1 INTRODUCCIÓN La tecnología es cada vez más sencilla de

Más detalles

Guía Electronic Workbench

Guía Electronic Workbench Guía Electronic Workbench Se trata de un programa para la simulación circuitos electrónicos digitales y analógicos. En la Figura 1 se muestra el área de trabajo, es decir el lugar donde debe diseñarse

Más detalles

Diseño de una calculadora

Diseño de una calculadora DEPARTAMENTO DE TECNOLOGÍA ELECTRÓNICA ESCUELA TÉCNICA SUPERIOR DE INGENIERÍA INFORMÁTICA Diseño de una calculadora Sistemas Digitales Avanzados 1. Introducción y objetivos El propósito general de esta

Más detalles

CONEXIÓN DEL HARDWARE AL COMPUTADOR PERSONAL

CONEXIÓN DEL HARDWARE AL COMPUTADOR PERSONAL CONEXIÓN DEL HARDWARE AL COMPUTADOR PERSONAL PRESENTACION Los programadores y autómatas que se conectan al software Mgdplus, lo hacen a través de una conexión de puerto serial. Cuando el computador no

Más detalles

Servicio de Informática Vicerrectorado de Tecnologías de la Información y la Comunicación

Servicio de Informática Vicerrectorado de Tecnologías de la Información y la Comunicación Vicerrectorado de Tecnologías de la Información y la Comunicación MANUAL DE USUARIO PARA WINDOWS 7 Pág. 1/13 Contenido 1.- QUÉ ES italc?... 3 2.- LANZAR italc... 3 3.- CONFIGURACIÓN INICIAL... 3 4.- COMANDOS

Más detalles

Ladibug Software de imagen del Presentador Visual Manual de usuario

Ladibug Software de imagen del Presentador Visual Manual de usuario Ladibug Software de imagen del Presentador Visual Manual de usuario Tabla de Contenido 1. Introducción... 2 2. Requisitos del sistema... 2 3. Instalación de Ladibug... 3 4. Conexión... 6 5. Comience a

Más detalles

U-500 SERIES. 1. Aparecerá una ventana llamada InstallShield Wizard, comenzara a cargar la barra de estado de esta ventana por sí misma.

U-500 SERIES. 1. Aparecerá una ventana llamada InstallShield Wizard, comenzara a cargar la barra de estado de esta ventana por sí misma. U-500 SERIES Jose Ma. Joaristi 60 Paraje San Juan, Qué es un U-500? U-500 SERIES es una memoria portátil USB para el registro de datos, tales como temperatura ambiente, % humedad, punto de rocío, temperatura

Más detalles

Guía de uso pizarra digital Nuevo Avance 4

Guía de uso pizarra digital Nuevo Avance 4 Guía de uso pizarra digital Nuevo Avance 4 Ejecución Características Menú navegación Menú de herramientas Grabación de diapositivas Edición de diapositivas Menú de tratamiento de diapositivas Proyección

Más detalles

MÓDULOS B-MOTICS ESCLAVOS DE MODBUS. Bielsa electrónica S.L. Ref: mblogix1.0es.pdf

MÓDULOS B-MOTICS ESCLAVOS DE MODBUS. Bielsa electrónica S.L. Ref: mblogix1.0es.pdf MÓDULOS ESCLAVOS DE MODBUS MBLOGIX B-MOTICS 1 ÍNDICE DE CONTENIDO DESCRIPCIÓN GENERAL... 4 INSTALACIÓN... 5 WINDOWS... 5 LINUX... 5 MAC... 5 CONFIGURACIÓN DE LA COMUNICACIÓN... 6 SERIE RTU... 6 VELOCIDAD...

Más detalles

Seleccionamos el programa Excel. Nos aparece la pantalla del programa

Seleccionamos el programa Excel. Nos aparece la pantalla del programa LECCIÓN 1ª Poner en marcha el programa Para poner en marcha el programa lo abrimos. Existen varias formas, por ejemplo partiendo del botón "Inicio" de la esquina inferior izquierda. Pulsamos el botón "Inicio"

Más detalles

INFORMATICAFACIL BIOS AMI. configuración. ekocop H T T P : / / I N F O R M A T I C A F A C I L. W O R D P R E S S. C O M

INFORMATICAFACIL BIOS AMI. configuración. ekocop H T T P : / / I N F O R M A T I C A F A C I L. W O R D P R E S S. C O M INFORMATICAFACIL configuración ekocop 2007 H T T P : / / I N F O R M A T I C A F A C I L. W O R D P R E S S. C O M Acceder a la Para acceder a la, arranca el ordenador y pulsa #, mientras se están mostrando

Más detalles

Manual de instalación y actualización de DAVIE4

Manual de instalación y actualización de DAVIE4 Manual de instalación y actualización de DAVIE4 Para DAVIE versión 4.9.0 15.49.4 y posterior Nombre: Manual de instalación y actualización de DAVIE4 Fecha: 23-12-2015 Nombre del autor: FAM DAVIE Customer

Más detalles

UNIVERSIDAD TECNOLÓGICA DEL VALLE DE TOLUCA

UNIVERSIDAD TECNOLÓGICA DEL VALLE DE TOLUCA UNIVERSIDAD TECNOLÓGICA DEL VALLE DE TOLUCA Dirección de Carrera de Tecnologías de la Información y Comunicación Ingeniería en Tecnologías de la Información y Comunicación, área Sistemas Informáticos Reporte

Más detalles

Práctica 5. Configu uración de interfaz Serial y encaminamiento estático María Victoria Bueno Delgado Pablo Pavón Mariño

Práctica 5. Configu uración de interfaz Serial y encaminamiento estático María Victoria Bueno Delgado Pablo Pavón Mariño Ingeniería Técnica de Telecomunicación, Especialidad Telemática Prácticas de Laboratorio de Redes y Servicios de Comunicaciones Práctica 5. Configuración de interfaz Serial y encaminamiento estático María

Más detalles

APARTADO 1. CONFIGURAR IMPRESORA Y CAJÓN EN ZOOCO

APARTADO 1. CONFIGURAR IMPRESORA Y CAJÓN EN ZOOCO Contenido APARTADO 1. CONFIGURAR IMPRESORA Y CAJÓN EN ZOOCO... 2 Paso 1. Compruebe que tiene instalada la impresora.... 2 Paso 2. Revisamos la configuración y selección de puerto:... 3 Paso 3. Revise la

Más detalles

Net-LAN. Guía rápida del Kit inalámbrico NetLAN para ZyXEL P650 HW31,33

Net-LAN. Guía rápida del Kit inalámbrico NetLAN para ZyXEL P650 HW31,33 Net-LAN Guía rápida del Kit inalámbrico NetLAN para ZyXEL P650 HW31,33 Índice 1. INTRODUCCIÓN...3 2. FUNCIONAMIENTO DEL KIT INALÁMBRICO PARA NETLAN...4 2.1 Instalación del configurador...4 2.2 Proceso

Más detalles

EL OSCILOSCOPIO ANALÓGICO MEDICIONES SOBRE CIRCUITOS RC Y RL. Práctica Nº 5 Preparación

EL OSCILOSCOPIO ANALÓGICO MEDICIONES SOBRE CIRCUITOS RC Y RL. Práctica Nº 5 Preparación UNIVERSIDAD SIMON BOLIVAR DEPARTAMENTO DE ELECTRONICA Y CIRCUITOS LABORATORIO DE CIRCUITOS ELÉCTRICOS EC 1081 PRACTICA Nº 5 Objetivos EL OSCILOSCOPIO ANALÓGICO MEDICIONES SOBRE CIRCUITOS RC Y RL Usar adecuadamente

Más detalles

FICHA TÉCNICA DE PRODUCTO

FICHA TÉCNICA DE PRODUCTO FICHA TÉCNICA DE PRODUCTO Producto Código: SDL-001 Definición: Sistema de llamada a Enfermería. Datos Técnicos. Tensión de alimentación: 220[V]. Capacidad para 16 habitaciones. Tensión de activación de

Más detalles

CREAR Y GUARDAR DOCUMENTOS

CREAR Y GUARDAR DOCUMENTOS 1.1. Cómo cargar Word 2016 Lección Nro. 01 CREAR Y GUARDAR DOCUMENTOS Este tema está pensado para las personas que nunca accedieron a Word. Aquí aprenderemos a crear y guardar nuestro primer documento.

Más detalles

Debido a la manera en que se puede usar este programa, la instalación puede realizarse de dos maneras:

Debido a la manera en que se puede usar este programa, la instalación puede realizarse de dos maneras: Instalación STARTEL Administrativo Plus Al introducir el CD en su lector de discos compactos, se ejecutara automáticamente el programa de instalación, en este, solamente deberá seguir las indicaciones

Más detalles

5.- File Menu: Pulsando con el ratón en esta opción de la Barra de menú apare el menú desplegable de la Figura 80.

5.- File Menu: Pulsando con el ratón en esta opción de la Barra de menú apare el menú desplegable de la Figura 80. 5.- File Menu: Pulsando con el ratón en esta opción de la Barra de menú apare el menú desplegable de la Figura 80. Figura 80.- Menú desplegable de la opción File Algunas de las opciones del menú de archivos

Más detalles

Utilización de las instrucciones concurrentes CSA, SSA, componentdeclaration y component-instantiation aprendidas en clase.

Utilización de las instrucciones concurrentes CSA, SSA, componentdeclaration y component-instantiation aprendidas en clase. DISEŇO de SISTEMAS DIGITALES AVANZADOS CON VHDL e IMPLEMENTADOS en FPGAs Laboratorio 3 Objetivo Utilización de las instrucciones concurrentes CSA, SSA, componentdeclaration y component-instantiation aprendidas

Más detalles

PRÁCTICA 1. DISEÑO COMBINACIONAL BÁSICO

PRÁCTICA 1. DISEÑO COMBINACIONAL BÁSICO PRÁCTICA 1. DISEÑO COMBINACIONAL BÁSICO 1. Objetivo El objetivo de esta práctica es el estudio de alguna característica real de una puerta lógica y la realización de un circuito combinacional básico. Para

Más detalles

TEMA 8. REGISTROS Y CONTADORES.

TEMA 8. REGISTROS Y CONTADORES. TEMA 8. REGISTROS Y CONTADORES. TECNOLOGÍA DE COMPUTADORES. CURSO 2007/08 8.1. Registros. Tipos de registros. Registros de desplazamiento. Los registros son circuitos secuenciales capaces de almacenar

Más detalles

TEMA 1: Concepto de ordenador

TEMA 1: Concepto de ordenador TEMA 1: Concepto de ordenador 1.1 Introducción Los ordenadores necesitan para su funcionamiento programas. Sin un programa un ordenador es completamente inútil. Para escribir estos programas necesitamos

Más detalles

PRÁCTICA 2: SISTEMAS DIGITALES

PRÁCTICA 2: SISTEMAS DIGITALES 1 OBJETIVOS: PRÁCTICA 2: SISTEMAS DIGITALES 1.1 Manejo de un software de simulación 1.2 Desarrollo de un Sistema Digital sencillo con componentes reales. 2 ESTUDIO TEÓRICO: Se pretender realizar varios

Más detalles

Manual de Usuario TMU-V1

Manual de Usuario TMU-V1 @-sa.com www.-sa.com Manual de Usuario, S.A. Pag. 1 de 10 @-sa.com www.-sa.com Manual de Usuario Indice: 1.0.- Descripción: 2.0.- Características: 2.1.- Componentes básicos 3.0.- Descripción de funcionamiento

Más detalles