Bloques básicos secuenciales.

Tamaño: px
Comenzar la demostración a partir de la página:

Download "Bloques básicos secuenciales."

Transcripción

1 Capítulo 3 Bloques básicos secuenciales. 3.. Contadores. Es una de las componentes más utilizada en el diseño de máquinas digitales. En los diagramas de estados de contadores, no suelen anotarse las entradas, el cambio de estado se produce con el canto del reloj; es decir, se cuentan los cantos del reloj. La asignación de estados se elige de tal manera que el estado refleje la cuenta; debido a esto son máquinas de Moore. Los diseños pueden efectuarse con J, y también con D. Las ecuaciones con flip-flops de tipo D pueden implementarse directamente con lógica programable. Pueden clasificarse según la forma de contar en contadores binarios, bcd, o en contadores con secuencias especiales. También pueden ser progresivos o regresivos, si cuentan en forma ascendente o descendente respectivamente. Según el tipo de implementación pueden clasificarse en sincrónicos o asincrónicos. En los primeros, el estado de todos los flip-flops cambia con el reloj; en los segundos todos los flipsflops no cambian simultáneamente con el reloj. Las señales de clear y reset también pueden ser sincrónicas con el reloj o asincrónicas. Se verán a continuación algunos contadores sincrónicos binarios ascendentes módulo potencia de dos Contador sincrónico binario módulo 4. Especificación a través de una matriz de transiciones: Estado Presente Próximo estado Figura 3.. Matriz transiciones contador módulo 4. Efectuando un mapa de la función de próximo estado, se obtiene:

2 2 Sistemas Digitales Q Q Figura 3.2. Matriz de transiciones contador módulo 4. Si la cifra menos significativa es Q0 y la más significativa es Q, se tienen: Q+ = Q0Q' + Q0'Q = JQ' +'Q = D Q0+ = Q0' = J0Q0' +0'Q0 = D0 Las que implican, para flip-flops Js: J = Q0 = Q0 J0 = 0 = Las ecuaciones anteriores representan al siguiente circuito: +Vcc Q+ Q0+ reset J Q0 J Q Y para flip-flops Ds, se obtienen: D = Q0Q' + Q0'Q D0 = Q0' Figura 3.2.a. Esquemático contador módulo 4.

3 Capítulo 3. Bloques básicos secuenciales Contador sincrónico binario módulo 8. Puede especificarse mediante la siguiente matriz de transiciones: Estado Presente Próximo estado Figura 3.3. Matriz de transiciones contador módulo 8. Si la cifra menos significativa es Q0 y la más significativa es Q2, se tienen: Q0 Q2Q reset Q2+ Q+ Q Figura 3.4. Matriz de transiciones y diagrama de estados para contador módulo 8. Notar que en el diagrama de estados, no hay señal de entrada asociada a las transiciones. El cambio de estado se produce con el canto del reloj. La salida es el estado, y el diagrama corresponde a un modelo de Moore. Resultan: Q2+ = QQ0Q2' + Q2(Q' + Q0') = J2Q2' +2'Q2 = D2 Q+ = Q0Q' + Q0'Q = JQ' +'Q = D Q0+ = Q0' + 0 Q0 = J0Q0' +0'Q0 = D0

4 4 Sistemas Digitales En el caso de emplear flip-flops de tipo D, los programas resultan por simple lectura del mapa de la matriz de transiciones, ya que: Di = Qi+ Para diseño con Js, conviene leer los mapas buscando los factores de Qi y Qi'. Y luego comparar los coeficientes de las ecuaciones características para encontrar los Ji y i'. Se logran: J2 = QQ0 2 = QQ0 J = Q0 = Q0 J0 = 0 = Usando flip-flops Js, el diseño puede representarse por el esquemático de la Figura 3.5: +Vcc reset J Q0 J Q J Q2 Figura 3.5. Esquemático contador módulo 8 mediante J. Notar que los flip-flops operan con el canto de bajada del reloj. Se destaca un reset asincrónico: cuando la señal reset' (de lógica negativa) tiene un canto de bajada, se activa. Entonces, en ese momento, todos los flip-flops van a cero (ver marcador en la Figura 3.6), y se tiene al contador en el estado inicial. Permanece en ese estado hasta que se desactiva la señal reset'; es decir cuando reset' =, luego de lo cual, en el próximo canto de bajada del reloj, se pasará al próximo estado 00. El pequeño círculo que está en la entrada de reset, indica que es una señal de lógica negativa; y es convencional describirla con el nombre negado: reset'. Las formas de ondas muestran el tiempo de propagación de los flip-flops (ver marcador tf en la Figura 3.6); es decir el tiempo que transcurre desde el canto hasta que se tiene nivel estable en las salidas Q de los flip-flops. Nótese que todas las salidas cambian en el mismo momento, y que permanecen estables entre cantos de bajada del reloj.

5 Capítulo 3. Bloques básicos secuenciales 5 ' Q2 Q Q0 Figura 3.6. Formas de ondas contador módulo 8. tf Contador sincrónico binario módulo 6. Si la cifra menos significativa es Q0 y la más significativa es Q3, se tienen: QQ0 Q3Q Q3+ Q2+ Q+ Q0+ Figura 3.7. Matriz de transiciones contador módulo 6. Resultan: Q3+ = QQ0Q2Q3' + (Q2'+ Q' + Q0') Q3 = J3Q3' +3'Q3 = D3 Q2+ = QQ0Q2' + Q2(Q' + Q0') = J2Q2' +2'Q2 = D2 Q+ = Q0Q' + Q0'Q = JQ' +'Q = D Q0+ = Q0' = J0Q0' +0'Q0 = D0 Las que implican los programas de los flip-flops J: J3 = Q2QQ0 3 = Q2QQ0 J2 = QQ0 2 = QQ0 J = Q0 = Q0 J0 = 0 =

6 6 Sistemas Digitales El esquema siguiente ilustra el diseño sincrónico. Debe notarse que aumentan las cargas de Q0 y que se requiere una compuerta de mayor fan-in en la entrada del flip-flop más significativo. Con tf, el tiempo de propagación desde el flanco del reloj hasta la salida; tc tiempo de propagación a través de la compuerta; tsu tiempo de set-up del flip-flop, el período mínimo del reloj queda dado por: (tf + tc + tsu + tskew), el cual es determinado por los flip-flops Q2 y Q3; los requerimientos de período del reloj de los flip-flops Q0 y Q son menos exigentes. El requerimiento de hold, de los flip-flops Q0 y Q, se satisface si el tiempo de propagación, desde el canto del reloj hasta la salida, es mayor que el tiempo de sostenimiento requerido; los flip-flops Q2 y Q3 tienen exigencias menores de sostenimiento, ya que existe un tiempo de propagación de una compuerta. Recuérdese que los requerimientos de hold se evalúan en un mismo canto del reloj; y los de setp-up, entre dos cantos adyacentes. +Vcc reset J Q0 J Q J Q2 J Q3 Figura 3.8. Esquemático contador módulo 8 mediante J. Las formas de ondas de Q0, Q, Q2, Q3, y se ilustran a continuación: Q0 Q Q2 Q3 Figura 3.9. Formas de ondas contador módulo 6. Si los períodos del reloj, de Q0, Q, Q2 y Q3 son: Tc, T0, T, T2 y T3 respectivamente, se tienen: T0 T T2 T3 T c

7 Capítulo 3. Bloques básicos secuenciales 7 Puede variarse el diseño, liberando tener un flip-flop (el menos significativo) con mayor fanout, y una compuerta de mayor fan-in en la entrada del flip-flop más significativo, del modo siguiente: +Vcc reset J Q0 J Q J Q2 J Q3 Figura 3.0. Disminución fan-out. Este diseño, sigue siendo sincrónico, pero aumenta el período mínimo que puede tener el reloj para el funcionamiento correcto de Q3. Ahora se tiene que el período mínimo queda dado por: (tf +2 tc + tsu+ tskew), el cual implica una frecuencia máxima menor que la del diseño anterior Contador binario de ripple, con salida asincrónica. El siguiente diseño, basado en la experiencia e intuición, es el contador que requiere menos hardware. Los flip-flops J están conectados simulando un flip-flop T, y se emplea la capacidad de este flip-flop de dividir por dos la frecuencia del reloj. Se muestra un esquema a continuación: +Vcc +Vcc +Vcc +Vcc reset J Q0 J Q J Q2 J Q3 Figura 3. Contador ondulado o de ripple. Debido a que las entradas no cambian, se cumplen automáticamente los requerimientos de sostenimiento y set-up, para los cuatro flip-flops. La propagación de la transición de la cuenta 5 a la cuenta cero, limita el período mínimo del reloj. Ya que en este caso, como se ilustra en la Figura 3.2 se tiene el menor valor de tiempo en el cual en contador está en una cuenta dada.

8 8 Sistemas Digitales Entonces se tiene para el mínimo período: (4tf +t 0min ), lo cual implica que la duración del tiempo en cuenta cero será igual a t 0min. A continuación se muestran las formas de ondas. Los tiempos en que este contador permanece en cada estado no son regulares. Q0 Q Q2 Q3 ' Contador de anillo (ring counter). Figura 3.2. Formas de ondas contador ripple. El siguiente esquema ilustra un registro de desplazamiento a la derecha, máquina secuencial que se verá más adelante, que se emplea como contador. reset set J Q0 J Q J Q2 J Q3 Figura 3.3. Contador de anillo. Debe notarse que el primer flip-flop requiere un set asincrónico para iniciar el funcionamiento del contador. Además, como puede observarse en las formas de ondas, los estados por los que pasa el contador están restringidos a una secuencia determinada. Pasa para la configuración de la Figura 3.3, por: 000, 000, 000, 000.

9 Capítulo 3. Bloques básicos secuenciales 9 Q0 Q Q2 Q3 Figura 3.4. Formas de ondas contador de anillo. Una variación, que permite duplicar los estados del "ring counter", es el contador de Johnson Contador de Johnson. Debe notarse que Q3 se conecta a 0, y que Q3' se conecta a J0. Este contador pasa por ocho estados: 0000, 000, 00, 0,, 0, 00, 000. El contador de anillo y el de Johnson tienen salidas sincrónicas. El contador de Johnson tiene señal de reset común, y no requiere del inicio especial del contador de anillo. Para ambos se tiene que el período mínimo queda dado por (tf + tsu). Logrando mayores frecuencias de operación que los contadores anteriores. reset J Q0 J Q J Q2 J Q3 Con las siguientes formas de ondas: Figura 3.5. Contador de Johnson.

10 0 Sistemas Digitales Q0 Q Q2 Q Contador integrado Figura 3.6. Formas de ondas contador Johnson. En la familia TTL existen diversos tipos de contadores. Uno muy versátil es el 7463, que tiene señales de carga y clear sincrónicas. Lo cual permite cargar un valor de cuenta inicial y también dejar en cero en forma sincrónica con el reloj. También se dispone de una señal que está alta cuando se está en el estado más alto; es decir cuando el contador pasa al estado, la señal se denomina RCO (ripple carry output). Los controles anteriores permiten diseñar contadores especiales. Por ejemplo uno que cuente desde un valor inicial hasta (se logra conectando rco a la señal load). Similar esquema suele emplearse en los temporizadores de procesadores y microcontroladores, donde además de los recursos habituales de aritmética y lógica se agrega un contador, con controles sincrónicos, denominado usualmente timer. Otro contador, que puede implementarse con estos controles, es uno que cuente desde 0000 hasta un valor dado; para ello se requiere decodificar el estado y con esto alimentar la señal clear. No es recomendable emplear las entradas asincrónicas de los contadores para diseños como los descritos antes; en este caso debe restringirse el uso de los controles asincrónicos sólo para establecer el estado inicial. Un diagrama lógico del contador 7463 se muestra en la Figura 3.7.

11 Capítulo 3. Bloques básicos secuenciales clear load PE TE P0 P P2 P Q0 Q Q2 Q3 RCO Figura 3.7. Esquemático contador Los controles clear y load se definen de lógica negativa, esto implica que la limpieza a cero del estado del contador se logra con el canto de bajada de clear. Como este control es sincrónico, el instante preciso, en que se produce la acción, es el canto de subida del reloj luego de que clear tiene un canto de subida. La Figura 3.7a, muestra la especificación del contador mediante una tabla de verdad. clear load PE TE Operación 0 x x preset 0 x No cuenta x 0 No cuenta cuenta 0 x x x reset Figura 3.7a. Especificación contador La siguiente descripción Verilog, ilustra el funcionamiento del contador. module C7463(loadn, clearn, PE, TE,, P, RCO, Q) input loadn, clearn, PE, TE, ; input [3:0] P; output [3:0] Q; output RCO; reg [3:0] Q; assign RCO = (&Q) & TE; (posedge ) begin if (clearn == 0) Q <= 0; else if (loadn ==0) Q <= P; else if (PE & TE ) Q <= Q+; end endmodule

12 2 Sistemas Digitales La expresión &Q es equivalente al AND de cada uno de los bits de Q Contador sincrónico binario módulo 32. De los diseños de los contadores binarios módulos 4, 8 y 6, pueden inducirse las siguientes ecuaciones, sin efectuar desarrollos. Lo mismo puede decirse para contadores módulo una potencia de dos: 64, 28, etc. Las ecuaciones de diseño basadas en flip-flops Js: J4 = Q3Q2QQ0 3 = Q3Q2QQ0 J3 = Q2QQ0 3 = Q2QQ0 J2 = QQ0 2 = QQ0 J = Q0 = Q0 J0 = 0 = Para diseños basados en flip-flops Ds: Q4+ = QQ0Q2Q3Q4' + Q4(Q3' + Q2'+ Q' + Q0') = D4 Q3+ = QQ0Q2Q3' + Q3(Q2'+ Q' + Q0') = D3 Q2+ = QQ0Q2' + Q2(Q' + Q0') = D2 Q+ = Q0Q' + Q0'Q = D Q0+ = Q0' = D0 A continuación se ilustran algunos ejemplos de contadores binarios sincrónicos que no son módulo una potencia de dos Contador sincrónico módulo 7. Si la cifra menos significativa es Q0 y la más significativa es Q2, se tienen: Q0 Q2Q Q2+ Q+ Q El estado (7) se trata como superfluo. Figura 3.8. Contador sincrónico módulo 7.

13 Capítulo 3. Bloques básicos secuenciales 3 Resultan: Q2+ = QQ0Q2'+Q'Q2 = J2Q2' +2'Q2 = D2 Q+ = Q0Q' + Q2'Q0'Q = JQ' +'Q = D Q0+ = (Q2'+Q')Q0' = J0Q0' +0'Q0 = D0 Las que implican: J2 = QQ0 2 = Q J = Q0 = Q2 + Q0 J0 = Q2'+Q' 0 = Contador sincrónico módulo 6. Si la cifra menos significativa es Q0 y la más significativa es Q2, se tienen: Q0 Q2Q Q2+ Q+ Q Figura 3.9. Contador sincrónico módulo 6. Resultan: Q2+ = QQ0Q2'+Q0'Q2 = J2Q2' +2'Q2 = D2 Q+ = Q2'Q0Q' + Q0'Q = JQ' +'Q = D Q0+ = Q0' + 0 Q0 = J0Q0' +0'Q0 = D0 Las que implican: J2 = QQ0 2 = Q0 J = Q2'Q0 = Q0 J0 = 0 = En la familia TTL existen contadores asincrónicos como el 7493 que pueden contar en módulo 8 ó 6. Y el 7490 que puede contar en módulo 5 o por décadas (Módulo 0) Registros de desplazamiento. Se denomina registro a una serie de flip-flops agrupados, con reloj y señales de control común. En los de desplazamiento se transfiere información de una celda (o flip-flop) hacia la adyacente, dentro del mismo registro. Esta operación se llama corrimiento (shift). El corrimiento puede ser

14 4 Sistemas Digitales a la izquierda o a la derecha. Si el contenido representa a un número con signo, los corrimientos aritméticos pueden ser realizados con extensión de signo. Debe considerarse en forma especial los bordes. Indicando con qué se llena el hueco que se produce; y especificando que se hace con el bit que sale del registro Usos. Algunos de sus principales usos son: a) Operaciones aritméticas. Permite multiplicar y dividir por dos el contenido de un registro interpretado como número binario. Esta operación puede producir rebalse y también truncamiento. Esto en caso que el resultado del producto no pueda representarse en el registro; o en caso de una división de un número impar, respectivamente. b) Operaciones lógicas. Permite posicionar un bit cualquiera en otra posición del registro. Esto favorece las operaciones orientadas al bit. Una variante de esta operación es la rotación, en la cual lo que sale del registro se introduce en el lugar vacante. c) Conversión serie a paralelo. Permite pasar una información binaria en serie a paralelo y vice-versa. d) Generador de secuencias. Si se conecta como registro de rotación, puede generarse una secuencia de largo n, donde n es el número de celdas. e) Línea de retardo. Un valor en la entrada, sale n pulsos más tarde en la salida. f) Reconocedor de secuencias. Si se conecta en las salidas de los flip-flops un decodificador puede reconocerse una secuencia cada vez que se presente en la entrada. g) Generador de códigos de chequeo. Se emplean para agregar información de chequeo a paquetes con información, de tal modo de transmitir la información con datos de control, que permitan verificar la correcta recepción del paquete. h) Generador de secuencias binarias pseudoaleatorias (PRBS). Se emplean como generadores de ruido. También se emplean para generar encriptación, en una configuración denominada LFSR (Linear feedback shift register) Ejemplo de diseño. Se desea efectuar un corrimiento a la derecha. Es decir, con el canto del reloj, el contenido del flip-flop Q0 pasa a Q. Alternativamente, lo que se desea es que el próximo estado de Q sea el valor actual de Q0. x J0 0 Q0' Q0 J Q' Q Figura Transferencia entre dos flip-flops. Entonces, se desea que: Expandiendo en Q se logra: Q+ = Q0 = D Q+ = Q0Q' +Q0Q

15 Capítulo 3. Bloques básicos secuenciales 5 Comparando con la ecuación característica, se obtiene: Se logran: J = Q0; = Q0' Q+ = JQ' +'Q Si se desea ingresar x a Q0, se debe cumplir: Q0+ = x = D0 En este caso se tendrá: Q0+ = xq0' +xq0 = J0Q0' +0' Q0 Se logran: J0 = x; 0 = x' Registro de desplazamiento a la derecha de largo 4. A continuación se ilustra un registro de largo 4, en base a J: reset x J Q0 J Q J Q2 J Q3 Figura 3.2. Registro de desplazamiento a la derecha de largo 4. Se muestran las formas de ondas cuando se aplica, en la entrada, la secuencia x = 000. Debe notarse, en la gráfica, cómo el valor de x pasa a Q0, el valor de Q0 pasa a Q, el de Q a Q2, etc. x Q3 Q2 Q Q0 Figura Formas de ondas en registro de desplazamiento Registros de desplazamiento TTL La componente 749 es un 8-bit shift register, serial-in serial out gated input.

16 6 Sistemas Digitales El 7494 es un shift register de 4 bits. Entrada paralela y salida serial. Además tiene entrada serie. EL 7495, es de 4 bits, tiene entrada serial, carga de entrada en paralelo, salida paralela, además de controles para corrimiento a la izquierda y a la derecha. Las componentes 7464, 7465, 7466 son registros de 8 bits. 7494, 7495 y 7498 se denominan universales (de 4 y 8 bits) y tienen carga paralela y corrimiento en ambas direcciones. Algunos de ellos están disponibles en los simuladores Registro universal 7494A. Para el 7494A de Texas Instruments se entrega la siguiente tabla de funcionamiento: Funciones 74LS94. CLR S S0 CL SL SR A B C D QA QB QC QD Función L X X X X X X X X X L L L L Clear H X X L X X X X X X Qa Qb Qc Qd Hold H H H X X a b c d a b c d Load H L H X H X X X X H Qa Qb Qc Shift R H L H X L X X X X L Qa Qb Qc Shift R H H L H X X X X X Qb Qc Qd H Shift L H H L L X X X X X Qb Qc Qd L Shift L H L L X X X X X X Qa Qb Qc Qd Hold Con: SR shift right serial input; SL shift left serial input; A, B, C, D entrada paralela; QA, QB, QC, QD salida paralela. S0 y S entradas de control. La señal CLR es un control asincrónico, que coloca en cero los flip-flops. El evento que sincroniza las transferencias es el canto de subida de la señal CL. De las especificaciones se logra la siguiente descripción funcional. S0= y S= generan la señal de carga paralela. ( QA+=A, QB+=B, QC+=C, QD+=D ) S0=0 y S = corrimiento izquierdo ( QA+ = QB, QB+ = QC, QC+ = QD, QD+ = SL ) S0= y S =0 corrimiento derecho ( QA+ = SR, QB+ = QA, QC+ = QB, QD+ = QC ) S0=0 y S =0 se inhibe el reloj, el registro mantiene su estado (hold). La siguiente descripción Verilog, modifica la especificación anterior, dejando el control CLR como sincrónico. module UniversalShiftRegister(CLR, CL, SL, SR, S, datos, Q); input CLR, CL; input SL, SR; input [:0] S; input [3:0] datos; output [3:0] Q; reg [3:0] Q; CL) if (CLR==0) Q<=0;

17 Capítulo 3. Bloques básicos secuenciales 7 else begin if (S==2 b) Q <= datos; else if (S==2 b0) Q <= { REG[2:0], SL}; else if (S==2 b0) Q <= { SR, REG[3:] }; end endmodule El esquemático siguiente es la implementación de Texas Instruments para el 74LS94A. Figura Registro universal Texas. A partir del esquemático de la Figura 3.23, se obtienen las siguientes ecuaciones, asumiendo flip-flops Ds, en lugar de SRs. Debe notarse que el estado hold se logra pasando por una compuerta el reloj externo. Las ecuaciones siguientes permiten una descripción a nivel de compuertas y flip-flops. DA = (SR S' +(S0' +S')' A + QB S0' ) DB = (QA S' +(S0' +S')' B + QC S0' ) DC = (QB S' +(S0' +S')' C + QD S0' ) DD = (QC S' +(S0' +S')' D + SL S0' ) = ( externo + S S0 ) Philips en su diseño no modifica el reloj, agregando una decodificación adicional, para cada flip-flop.

18 8 Sistemas Digitales 3.3. Registros. Figura 3.23a. Registro universal Philips. Se denomina registro a una colección de flip-flops con lógica y controles similares. Suelen compartir el reloj, y las líneas asincrónicas de set y clear Registro de almacenamiento. El siguiente esquema, es un registro de almacenamiento, que muestrea 4 bits disponibles en las líneas de entrada, los captura en un canto del reloj y los mantiene en las salidas hasta el próximo canto del reloj. reset In0 In In2 In3 D Q0 D Q D Q2 D Q3 Out0 Out Out2 Out3 Figura Registro de almacenamiento.

19 Capítulo 3. Bloques básicos secuenciales 9 Una variante del registro anterior, es un registro con habilitación de escritura. Se agrega una señal adicional WE (write enable), cuya activación permite la escritura en el registro Registro de desplazamiento. El siguiente esquema es un registro de desplazamiento a la derecha, implementado con flipflops Ds. Almacena los últimos 4 bits de la secuencia de entrada. reset In D Q0 D Q D Q2 D Q3 Out0 Out Out2 Out3 Figura Registro de desplazamiento a la derecha de largo Diseño de máquinas en base a registros Si se agrega un decodificador, que tenga como entradas a las salidas del registro de la Figura 3.25, puede generarse un detector de una secuencia de 4 bits, cada vez que ésta se presente Alternativas de Diseño de Máquinas Secuenciales Diseño basado en ROM. Almacenar el estado en un registro, e implementar las funciones de próximo estado en una ROM. ROM registro entradas direcciones contenidos Figura 3.26 Diseño basado en EPROM. Este esquema se emplea para diseñar unidades de control microprogramadas de procesadores. La información del próximo estado se almacena en la ROM.

20 20 Sistemas Digitales Diseño basado en PLD. Usar un dispositivo programable con salidas de registro; con esta arquitectura, las realimentaciones del estado son internas. PLD entradas salidas Figura Diseño basado en PLD. Este esquema se emplea para diseñar unidades de control en firmware, o de lógica alambrada Secuenciador. Una variante del esquema basado en ROM, es emplear, para registrar el estado, un contador sincrónico con funciones de carga paralela, clear e incrementar; y programar la función de próximo estado en función de estas señales. Este esquema se denomina de microsecuenciación y se emplea en diseños de la unidad de control de procesadores. Las funciones de las señales de control del contador son: Cero: Inc: Load: llevar al estado inicial. pasar al estado siguiente. presetear un estado determinado 0000 Cero i i+ Inc i Load Figura Operaciones del contador. Ejemplo 3.. Diseñar con un secuenciador el siguiente diagrama de estados.

21 Capítulo 3. Bloques básicos secuenciales inc 000 x= load load load load load x= 4 x= 5 x= x= 2 x= 3 load inc inc inc inc inc Cero Cero Cero Cero Cero Cero Figura Diagrama de estados mediante secuenciador. El contador registra el estado, y sus salidas están conectadas a las direcciones de la memoria. El contenido de la memoria son los bits: C, I, L que están conectadas a las señales Cero, Incrementar y Cargar del registro; además pueden grabarse en la memoria, los diversos bits de salida que se requieran asociados a cada estado (esquema de Moore). A continuación se presenta la tabla de transiciones, o programa del secuenciador, notando que la información del próximo estado no se almacena en la memoria, se incorpora en la tabla sólo con fines aclaratorios del funcionamiento:

22 22 Sistemas Digitales Estado Próximo C I L Salidas Figura Programa del secuenciador. Nótese que los nombres binarios de los estados se eligen para ocupar lo más eficientemente que sea posible la señal de cuenta o incremento del contador. Al estado 0000, se llega después del reset inicial. Si la naturaleza del proceso secuencial es repetitiva, al terminar los diferentes recorridos de estados se retorna al estado inicial. Para esto se emplea la señal Cero. Estando en el estado 000, de acuerdo a la entrada se discierne cuál es el próximo estado. En el ejemplo existe sólo un estado para el cual existen múltiples bifurcaciones. Se requiere almacenar la información de los próximos estados de acuerdo a los valores de las entradas, y cuando se active la señal Load. Esta información se denomina Tabla de Despacho. Entrada x Próximo estado Figura 3.3. Tabla de despacho. Esta información puede codificarse en una ROM pequeña, o implementarse mediante un PLD. Debe notarse que en la ROM del secuenciador no se almacena el próximo estado. Esto puede significar un ahorro importante de memoria, si existe un pequeño número de estados con múltiples bifurcaciones. En caso de existir varios nodos con bifurcaciones, es preciso definir otras señales de carga, una por cada nodo con bifurcaciones, y también igual número de tablas de Despacho. La señal Load del contador es activada por el or de las señales de carga de las tablas de despacho.

23 Capítulo 3. Bloques básicos secuenciales 23 Un esquema general de la arquitectura para diseño de máquinas secuenciales en base a un secuenciador, se ilustra a continuación. Próximo Estado Tabla de Despacho Contador Carga Paralela ROM Direcciones Contenidos Entradas L C Inc I C L Salidas Figura Arquitectura para diseño mediante secuenciador Diseño de controladores. Un uso frecuente de las máquinas secuenciales sincrónicas es ejercer control sobre dispositivos asincrónicos con los que se desea intercambiar datos. Un ejemplo de esta situación es la conexión de un sistema sincrónico con memorias o periféricos. Especificación del dispositivo. Para ilustrar consideraremos un dispositivo que genera datos. En la Figura 3.33, se muestra un diagrama de bloques, indicando las señales de entrada y de salida. comando habilita ocupado datos Figura Dispositivo a ser controlado. Se envía un pulso de comando que inicia la actividad del periférico, luego de un tiempo el dispositivo indica que está procesando el requerimiento con la señal ocupado; cuando los nuevos datos generados por el dispositivo están listos para ser usados, se habilita la salida, permitiendo de este modo que los datos estén disponibles en el bus de salida. Cuando la salida está deshabilitada el bus de datos está en un estado de alta impedancia, lo cual permite que varios dispositivos compartan el bus de datos. El primer paso del diseño consiste en estudiar la hoja de datos del dispositivo, analizando los requerimientos temporales. En la Figura 3.34 se muestran las formas de ondas con sus especificaciones de tiempo.

24 24 Sistemas Digitales Asumiremos algunos valores de los parámetros para ilustrar el ejemplo. El ancho del pulso del comando que da inicio a la actividad del dispositivo tiene que tener un ancho mínimo dado por ta = 300 ns. Luego del canto de bajada del pulso de comando, transcurre un tiempo de retardo hasta que el dispositivo inicia su actividad, sea tr = 700 ns. Este tiempo es el máximo indicado por el fabricante. Posteriormente a tr, el dispositivo indica que comenzó a efectuar el procesamiento, y mantiene alta la señal ocupado mientras dure el trabajo interno, sea este tiempo tp = 0 μs. Nótese que este intervalo es mucho mayor que el indicado en el diagrama, lo cual se indica con una línea quebrada en su parte superior. Luego del canto de bajada de ocupado, debe habilitarse la salida, pero los datos estarán disponibles luego de transcurrido el tiempo de acceso al bus, sea este tiempo tb = 250 ns. Luego de esto se tienen nuevos datos válidos en el bus de salida, y se podría proceder a su captura. Una vez almacenados los datos se deshabilita el bus, subiendo la señal habilita. El dispositivo aún mantiene los datos en el bus un tiempo antes de entrar en flotación, sea este tiempo tf = 50 ns. comando ocupado ta tr tp habilita datos tb tf validos Figura Requerimientos temporales. Por otro lado se dispone de un sistema sincrónico que opera con un reloj de 5 MHz, lo cual implica un período de reloj de 200 ns. Deseamos diseñar una máquina de estados finitos o controlador que: a) Acepte órdenes sincrónicas para grabar los datos generados por el dispositivo periférico. b) Genere las señales de control para el dispositivo, cumpliendo las especificaciones temporales. c) Encueste la respuesta asincrónica. d) Permita conocer cuando la operación está realizada. Especificación del sistema sincrónico. La Figura 3.35, muestra el entorno del controlador. El sistema sincrónico genera las señal de reset, para colocar en un estado inicial al controlador; la señal lea para iniciar la lectura; y recibe

25 Capítulo 3. Bloques básicos secuenciales 25 la señal capture, que se emplea para grabar los datos en el registro y como notificación de que la operación se ha completado. sistema reset lea controlador comando habilita dispositivo capture ocupado datos Figura Entorno de diseño. Debe notarse que las señales que interactúan entre el controlador y el sistema si bien están sincronizadas por el mismo reloj, lo hacen en cantos diferentes. Esta elección se realiza para garantizar el cumplimiento de las reglas de sincronización de los flip-flops del controlador y del sistema, tanto en el tiempo de setup como en el de mantenimiento (hold). Lo cual se ilustra en el diagrama de la Figura A la izquierda se muestran las señales lea o reset como pulsos de un ancho del reloj de duración, sus cambios ocurren en los cantos de bajada del reloj, de este modo los flip-flops del controlador tomarán muestras de sus entradas en los cantos de subida del reloj, instante en que ambas señales estarán estables. A la derecha se ilustra que el registro que almacena los datos tendrá su habilitación estable en el canto de bajada del reloj, ya que la señal capture, que proviene del controlador, cambia entre cantos de subida del reloj. lea o reset capture Figura Entorno de diseño. El dispositivo puede tener internamente un funcionamiento sincrónico, pero se asume que su reloj es diferente del reloj del sistema, por esto se dice que la señal ocupado es asincrónica respecto del controlador. Razón por la cual deberá ser sincronizada, esto lo lograremos haciendo pasar esta señal por dos flip-flops Ds, antes de ser ingresada al controlador. Diseño del controlador. Lo primero que enfrentaremos será generar la señal de control comando, cumpliendo el requisito del ancho mínimo del pulso. Como el reloj tiene un período de 200 ns, podemos crear un pulso que dure 400 ns, lo cual cumple la especificación. En la Figura 3.37, se muestra la

26 26 Sistemas Digitales señal lea, que ha llegado después de un pulso de reset. En el estado inicial E0, debemos iniciar la señal comando en nivel alto. Estando en E0, permanece en ese estado mientras la señal lea esté baja. Estando en E0, cuando la señal lea se encuentra en alto, la red combinacional de próximo estado genera el nuevo estado E, el que se actualiza en el próximo canto de subida del reloj, situación que se ilustra en la Figura 3.37, con el marcador a. lea a b d comando E0 E E2 E3 Figura Generación de señal de control comando. Para lograr el requerimiento de ancho mínimo del pulso comando, debemos mantener baja esta señal dos períodos del reloj, de este modo la señal tendrá un ancho de 400 ns, que es levemente mayor al requisito de 350 ns como ancho mínimo. Lo anterior implica disponer de dos estados E y E2, durante los cuales la señal comando esté en 0. La Figura 3.38, muestra la parte del diagrama de estados que controla la generación de la señal comando, la cual se muestra con la letra c, en el interior del estado del diagrama de Moore. reset E0 lea=0 c= a lea= E c=0 b E2 ocupados=0 E3 c=0 d c= Figura Diagrama de estados para la señal de control comando. Los estados E y E2 se emplean para temporizar, por esta razón no se requieren cambios de las entradas para el cambio de estado. Los instantes en que se producen los cambios al estado E2 y E3, se muestran con los marcadores temporales b y d, en la Figura El estado E0 se usa para esperar el canto de subida de lea; el estado E3 se emplea para esperar el canto de subida de ocupados, donde esta señal representa la sincronización de la señal ocupado, generada por el dispositivo asincrónico que se desea controlar. La señal ocupados en el peor caso tiene un retardo de dos períodos de reloj respecto de la señal ocupado, ya que para sincronizarla se la pasa por dos flip-flops Ds.

27 Capítulo 3. Bloques básicos secuenciales 27 Para cumplir con los requerimientos de los flip-flops del controlador, la señal ocupados debe ser sincronizada con los cantos de bajada del reloj. La Figura 3.39 muestra la transición al estado E4, en el canto marcado con el identificador e. Que corresponde al siguiente canto de subida en el que se ha sensado ocupados en alto. ocupados e f g habilita capture E3 E4 E5 E6 E0 Figura Generación de habilita y capture. Permanece en E4 hasta que llegue el canto de bajada de ocupados; luego en el canto de subida del reloj, se pasa al estado E5, en el cual se genera el control habilita=0. Se decide generar la señal capture en el estado siguiente E6, ya que como los datos se graban con el canto de bajada del reloj, esto ocurrirá 300 ns luego del instante denominado f en el diagrama. Lo cual garantiza que se tendrán datos válidos en el bus de tercer estado del dispositivo. Finalmente se requiere deshabilitar la salida y terminar el registro de los datos, esto puede lograrse si el estado inicial produce los controles: capture=0 y habilita =. Esto permite la especificación del diagrama de estado completo del controlador, que se muestra en la Figura reset lea=0 E0 c= E6 capture= h=0 lea= a E c=0 b E2 c=0 d ocupados=0 E3 c= e ocupados= g ocupados=0 E5 h=0 E4 h= f ocupados= Figura Diagrama de estados del controlador.

28 28 Sistemas Digitales Descripción del controlador en Verilog. module controlador(, reset, lea, capture, habilita, comando, ocupado); // Interfaz con el sistema sincrónico input ; // reloj del sistema input reset; input lea; // Interfaz con el dispositivo asincrónico input ocupado; reg ocupado, ocupados; output capture, habilita, comando; reg capture, habilita, comando; // Estados internos reg [2:0] estado; reg [2:0] proximoestado; // Declaraciones de estado parameter E0 = 0; parameter E = ; parameter E2 = 2; parameter E3 = 3; parameter E4 = 4; parameter E5 = 5; parameter E6 = 6; (negedge ) //sincronización begin ocupado <= ocupado; ocupados <= ocupado; end (posedge or posedge reset) //cambio de estado begin if (reset) estado <= E0; else estado <= proximoestado; end (estado or ocupados or lea) //Red de salida y próximo estado begin case (estado) E0: begin capture = 0; habilita = ; comando=; if(lea) proximoestado = E; else proximoestado = E0; end E: begin capture = 0; habilita = ; comando=0;

29 Capítulo 3. Bloques básicos secuenciales 29 proximoestado = E2; end E2: begin capture = 0; habilita = ; comando=0; proximoestado = E3; end E3: begin capture = 0; habilita = ; comando=; if (ocupados) proximoestado = E4; else proximoestado = E3; end E4: begin capture = 0; habilita = ; comando=; if (!ocupados) proximoestado = E5; else proximoestado = E4; end E5: begin capture = 0; habilita = 0; comando=; proximoestado = E6; end E6: begin capture = ; habilita = 0; comando=; proximoestado = E0; end default: begin capture = ; habilita = 0; comando=; proximoestado = E0; end endcase end // (estado or ocupados or lea) endmodule // controlador Simulación del controlador. El siguiente módulo genera estímulos para simular funcionalmente el módulo controlador. `timescale ns / ps module test_v; // Inputs reg ; reg reset; reg lea; reg ocupado; // Outputs wire capture;

30 30 Sistemas Digitales wire habilita; wire comando; // Instantiate the Unit Under Test (UUT) controlador uut (.(),.reset(reset),.lea(lea),.capture(capture),.habilita(habilita),.comando(comando),.ocupado(ocupado) ); initial begin // Initialize Inputs = 0; reset = 0; lea = 0; ocupado = 0; // Wait 00 ns for global reset to finish #00; end // Add stimulus here reset=; =0; #00; =; #00; reset=0; =0; #00; =; #00; =0; #00; =; #00; lea=; =0; #00; =; #00; lea=0; =0; #00; =; #00; =0; #00; =; #00; =0; #00; =; #00; =0; #00; ocupado=;=; #00; =0; #00; =; #00; =0; #00; =; #00; =0; #00; =; #00; =0; #00; =; #00; =0; #00; ocupado=0;=; #00; =0; #00; =; #00; =0; #00; =; #00; =0; #00; =; #00; =0; #00; =; #00; endmodule Se generan las siguientes formas de ondas.

31 Capítulo 3. Bloques básicos secuenciales 3 Figura 3.4. Simulación funcional del controlador.

32 32 Sistemas Digitales Problemas resueltos. Problema 3.. Contador Se tiene un contador binario módulo 5 ascendente (Q2QQ0) y un contador binario módulo7 descendente (Q5Q4Q3), con Q3 y Q0 las cifras menos significativas. a) Diseñar las ecuaciones del contador módulo 5 empleando flip-flops Ds. b) Diseñar las ecuaciones del contador módulo 7 empleando flip-flops Js. c) Generar salida z, que se ponga alta cuando el contador módulo 5 pasa por la cuenta decimal 3, y que se ponga baja cuando el contador módulo 7 pase por la cuenta decimal. Si se producen simultáneamente las cuentas anteriores, la salida debe pasar a cero. d) Indicar mediante un esquema temporal, respecto de los estados de los contadores y del reloj, cuando cambia la salida z. Solución. a) Para el contador binario módulo 5 ascendente: Q2Q Q ΦΦΦ ΦΦΦ 5 ΦΦΦ Q2+Q+Q0+ Figura P3.. Contador módulo cinco D2 = Q2+ = QQ0 D = Q+ = QQ0 + Q Q0 D0 = Q0+ = Q2 Q0 b) Para el contador binario módulo 7 descendente: Q5Q4 Q ΦΦΦ 00 5 Q5+Q4+Q3+ Figura P3.2. Contador módulo siete

33 Capítulo 3. Bloques básicos secuenciales 33 Q5+ = J5Q5 + 5 Q5 = Q5Q4 + Q5Q3 + Q5 Q4 Q3 ; J5 = Q4 Q3 5 = Q4 Q3 Q4+ = J4Q4 + 4 Q4 = Q4Q3 + Q4 Q3 ; J4 = Q3 4 = Q3 Q3+ = J3Q3 + 3 Q3 = Q4Q3 + Q5Q3 ; J3 = Q4 + Q5 3 = c) Las condiciones se obtienen según: C3 = Cuenta 3 decimal del módulo 5 = Q2 QQ0 C = Cuenta decimal del módulo 7 = Q5 Q4 Q3 La siguiente tabla muestra el estado de los contadores, los valores de los decodificadores de cuenta igual a tres (C3), e igual a uno (C). También se ilustra el estado de la salida, considerando que desde el canto de subida de C3 la salida Z toma valor, y que Z toma valor cero desde el canto de subida de C. Mod Mod C C Z Figura P3.3. Estados y salidas. Solución. También se considera correcta la siguiente tabla que muestra los cambios de Z con los cantos de bajada de las señales C3 y C. Mod Mod C C Z Figura P3.4. Estados y salidas. Solución 2. La variable z, debe almacenarse en un flip-flop. No puede obtenerse en términos combinacionales a partir de C y C3. Lo anterior puede determinarse de varias formas, se consideran correctas, cualquiera de las explicaciones siguientes: c) Observando un valor de uno de los contadores (por ejemplo la cuenta 4 del contador módulo 5), se aprecia que Z a veces es uno y otras es cero, ya que depende del valor anterior de Z. c2) Si puede construirse una matriz de transiciones y Z+ depende del valor actual de Z. En el caso que Z+ no dependiera de Z se trataría de una situación combinacional. c3) Si se puede dibujar un diagrama de estados.

34 34 Sistemas Digitales Para confeccionar la tabla de transiciones, se asume que inicialmente z es cero. Entonces si C3 y C son ceros, se conserva el estado de la salida z; si ambas son unos, entonces el próximo valor de z es cero. Cuando C3 pasa a estando Z en 0, el próximo valor de Z será ; y si era permanece en ese valor. Cuando C pasa a estando Z en, el próximo valor de Z será 0; y si era 0 permanece en ese valor. z C3C , Z=0 0 3 z Z= Figura P3.5. Matriz de transiciones. La función secuencial queda: z+ = C3C + C z Con flip-flop D resulta Dz = (Q2 QQ0 + z) (Q5 +Q4 +Q3 ) Con J, resulta: Jz = C3C = Q2 QQ0(Q5 +Q4 +Q3 ) z = C = Q5 Q4 Q3 d) La implementación anterior conduce a las siguientes formas de ondas, donde z cambia con los cantos de bajada de las señales C3 y C. El próximo estado se calcula con los valores actuales y toma su valor después del canto sincronizante. C3 C z Figura P3.6. Formas de ondas.

35 Capítulo 3. Bloques básicos secuenciales 35 Los diagramas se ilustran con flip-flops activados por canto de subida. La salida z, tiene su canto de subida coincidente con el canto de bajada de C3, y su canto de bajada coincidente con el canto de bajada de C. La señal z es sincrónica, y su ancho de pulso es variable. La implementación de cambios de z con los cantos de subida de C3 y C, mediante flip-flops J o D, debe basarse en generar la señal C3 cuando el contador módulo 5 pase por la cuenta dos (Q2 QQ0 ), y la señal C cuando el contador módulo siete pasa por la cuenta dos (Q5 Q4Q3 ). También se consideran correctas soluciones basadas en latchs. Alternativamente las señales C3 y C pueden emplearse para comandar un latch de nor (que opera con cantos de subida), y tal que el comando set sea C3C, y el comando reset sea: C. C3 C R Z C C3C S z Figura P3.7. Latch de nor. En el largo plazo la señal z es periódica, después de 35 (5*7) pulsos del reloj, ambos contadores pasan por cero simultáneamente. Mod Mod Problema 3.2. Diseño de máquina de estados. Para el siguiente diagrama de estados, asumir que el estado inicial es el A, al cual se llega reseteando asincrónicamente los flip-flops. a) Explicar el objetivo de la máquina secuencial. b) Determinar matriz de transiciones. c) Determinar los estados adyacentes de acuerdo a las reglas de asignación. Indicar los nombres binarios elegidos para cada estado lógico. d) Determinar las ecuaciones de próximo estado y de salida. e) Diseñar empleando flip-flops de tipo D, dibujando el esquemático.

36 36 Sistemas Digitales inicial / A 0/0 B 0/0 0/ /0 C /0 Figura P3.8. Problema 3.2. Solución. a) Se genera un uno en la salida después de una secuencia, de cualquier largo, de ceros o de unos de la entrada. Más específicamente, se genera salida uno cuando llega un: uno en secuencias de uno o más ceros seguidos 0, 00, 000, 0000,... cero en secuencias de uno o más unos seguidos 0, 0, 0, 0,... b) Se tiene x Estado 0 A B/0 C/0 B B/0 A/ C A/ C/0 Estado+ Figura P3.9. Matriz de transiciones Problema 3.2. c) Regla : Deben ser adyacentes A con B; y A con C Regla 2: Deben ser adyacentes: B con C, A con B, y A con C Regla 3: Deben ser adyacentes A con B y A con C. A debe ser el estado 00 para que se llegue a él, al resetear los flip-flops. Asignación : B = 0; C = 0. Asignación 2: B = 0; C = 0. Existen dos asignaciones posibles, que cumplen todas las reglas, excepto que B y C sean adyacentes:

37 Capítulo 3. Bloques básicos secuenciales 37 Asig x QQ0 0 A=00 0/0 0/0 B=0 0/0 00/ dd/d dd/d C=0 00/ 0/0 Q+Q0+/z Q+ = x Q0 ; Q0+ = x Q z = x Q + x Q0 Asig 2 x QQ0 0 A=00 0/0 0/0 C=0 00/ 0/0 dd/d dd/d B=0 0/0 00/ Q+Q0+/z Q+ = x Q0 ; Q0+ = xq z = x Q0 +x Q Figura P3.0. Asignaciones Problema 3.2. e) Para el diseño con la asignación, se tienen: D = x Q0 ; D0 = x Q ; z = x Q + x Q0 Se obtiene: x Q D Q z D0 Q0 Q0 Figura P3.. Esquemático Problema 3.2. Para el diseño 2, con Q = x Q0 ; Q0 = x Q ; z = x Q0 + x Q el esquemático es similar. Problema 3.3. Contador binario Diseñar un contador binario con salidas sincrónicas, que cuente en forma ascendente si C = ; y en forma descendente si C = 0. Si M = 0 debe contar en módulo 5; si M =, debe contar en módulo 7. Si se cambian los controles, debe ir a la cuenta siguiente, en caso que ésta exista; si la cuenta no existe debe ir a la cuenta 0.

38 38 Sistemas Digitales a) Escribir la matriz de transición para el contador en función de Q 2, Q, Q 0, M y C. b) Diseñar en base a flip-flops D las ecuaciones de próximo estado en función de Q 2, Q, Q 0, M=0 y C=0. c) Diseñar en base a flip-flops J- las ecuaciones de próximo estado en función de Q 2, Q, Q 0, M= y C=. d) Setear un latch de nand cuando el contador pase por la cuenta 3 y resetear el latch cuando el contador pase por cuenta 0. Solución: a), , Q 2 Q Q 0 CM Figura P3.2. Diagrama de estados Problema 3.3. CM Q 2 Q Q Q 2 +Q +Q 0 + Figura P3.3. Matriz de transiciones Problema 3.3.

39 Capítulo 3. Bloques básicos secuenciales 39 b) Q2Q Q Q2+ = Q2 Q Q0 + Q2Q Q0 = D2 Q+ = Q2 QQ0 + Q2Q Q0 = D Q0+ = Q2 QQ0 + Q2Q Q0 = D2 Q2+ Q+ Q0+ Figura P3.4. Diseño con M=0 y C=0 Problema 3.3. c) Q2Q Q Q2+ = Q2Q +Q2 QQ0 = J2Q2 +2 Q2 Q+ = Q Q0 +Q2 QQ0 = JQ + Q Q0+ = Q2 Q0 +Q Q0 = J0Q0 +0 Q0 Q2+ Q+ Q0+ J2 = QQ0 2 = Q J = Q0 = Q2 + Q0 J0 = Q2 + Q 0 = Figura P3.5. Diseño con M= y C= Problema 3.3. d) La cuenta tres ocurre cuando Q2 QQ0 es. Por lo tanto S = Q2 + Q + Q0 es la negación de la cuenta tres. Cuando la cuenta tres tiene un canto de subida se desea que S tenga un canto de bajada. La cuenta cero ocurre cuando Q2 Q Q0 es. Por lo tanto R = Q2 + Q + Q0 La implementación con NAND, es: S = (Q2 Q Q0) y R = (Q2 Q Q0 ) Otra solución para d). Sea L la salida del latch (usualmente denominada Q). Estando L en cero, sólo pasa a uno cuando llega a la cuenta 3; estando en estado uno el latch pasa a cero cuando entra a la cuenta cero. Se tiene entonces la matriz de transiciones siguiente:

40 40 Sistemas Digitales Q 2 Q Q 0 L L+ Figura P3.6 Salida del latch Problema 3.3. Empleando la siguiente tabla de excitaciones: Se logran los programas para S y R : Q Q+ S R Figura P3.7. Tabla excitaciones Problema 3.3. Q 2 Q Q 0 L S R Figura P3.8. Programas SR Problema 3.3. Resultan: S = Q2 + Q + Q0 y R = Q2 +Q + Q0 Q2 Q Q0 S L Q2 Q Q0 R Figura P3.9. Latch SR Problema 3.3. Problema 3.4. Se tiene el siguiente circuito:

41 Capítulo 3. Bloques básicos secuenciales 4 Z x T Q D Q0 Flip-flop T Flip-flop D Figura P3.20. Esquemático Problema 3.4. Si en t = 0 se tienen Q = 0 y Q0 = 0, con las siguientes formas de ondas: x t = 0 Figura P3.2. Formas de ondas Problema 3.4. La señal x cumple las condiciones de set-up y hold. a) Determinar las formas de ondas de Q, Q0 y Z, relativas al y x. b) Determinar la matriz de transiciones en el formato: x QQ Q+ Q0+ Figura P3.22. Formato matriz de transición Problema 3.4. Solución: Del esquemático, se tienen: T = X +Q0 ; D = Q ; Z = X + Q Ecuaciones de los flip-flops: Q+ = T Q ; Q0+ = D Reemplazando en las ecuaciones de los flip-flops, se obtienen:

42 42 Sistemas Digitales Q+ = (X+Q0)Q + (X+Q0) Q = Q Q0 + QQ0 X + Q X Q0+ = Q Es un modelo de Meely. Como los flip-flops operan con cantos de subida, se tiene la siguiente secuencia de entrada: X = {0,, 0, 0,, 0..} Se genera la secuencia de estados y salida: QQ0/Z = 00/0, 0/, /, 0/, 0/, /..} Figura P3.23. Matriz de transición Problema 3.4. Las formas de ondas de Q y Q0 muestran los retardos de propagación a través de los flip-flops. El cual se considera despreciable respecto del período del reloj. La forma de Z, ilustra la dependencia de la salida con la entrada, y también asume que el retardo a través de la compuerta or, es despreciable respecto del período del reloj. Debe notarse que Z cambia entre cantos de subida del reloj; es decir, no es una señal sincrónica, lo cual es característico del modelo de Meely. x QQ /0 0/ 0 0/0 0/ 0/ 0/ 0 / 0/ Q+ Q0+/Z x t = 0 Q Q0 Z Figura P3.24. Formas de ondas Problema 3.4.

43 Capítulo 3. Bloques básicos secuenciales 43 Problema 3.5. Se desea diseñar un contador con una entrada de control C, tal que: Cuando C=0, el contador cuente en la secuencia: 000, 00, 00, 0, 00, 0, 0,. Cuando C=, el contador cuenta en la secuencia: 000, 00, 0, 00, 0,, 0, 00 El flip-flop más significativo es Q2; el menos significativo es Q0. Q2 y Q son flip-flops Ds; y Q0 es flip-flop J. Las secuencias deben repetirse. Si cambia C durante la ejecución debe seguir contando según el nuevo valor, no retorna al estado inicial. Estado inicial: 000 Determinar las ecuaciones para programar los flip-flops. No dibujar circuito. Solución. Matriz de transiciones: Q0C Q2Q Q2+, Q+, Q0+ Figura P3.25. Matriz de transiciones Problema 3.5. Hay dos diseños para D2: Q2+ = Q2'QQ0C'+QQ0'C+Q2Q0'C'+Q2Q0C+Q2Q'Q0 (o Q2Q'C') =D2 Para D: Q+ = QQ0'+Q2'Q0C+Q'Q0C' =D Para el J, se tiene: Q0+=Q0'C'+Q2'Q'C+Q2QC=Q0'(C'+Q2'Q'C+Q2QC)+Q0( Q2'Q'C+Q2QC) Comparando coeficientes: J0 = C'+Q2'Q'C+Q2QC 0 = ( Q2'Q'C + Q2QC)' Arreglando: 0 = ( Q2+Q+C')( Q2'+Q'+C')

44 44 Sistemas Digitales Índice general. CAPÍTULO 3... BLOQUES BÁSICOS SECUENCIALES CONTADORES Contador sincrónico binario módulo Contador sincrónico binario módulo Contador sincrónico binario módulo Contador binario de ripple, con salida asincrónica Contador de anillo (ring counter) Contador de Johnson Contador integrado Contador sincrónico binario módulo Contador sincrónico módulo Contador sincrónico módulo REGISTROS DE DESPLAZAMIENTO Usos Ejemplo de diseño Registro de desplazamiento a la derecha de largo Registros de desplazamiento TTL Registro universal 7494A REGISTROS Registro de almacenamiento Registro de desplazamiento Diseño de máquinas en base a registros ALTERNATIVAS DE DISEÑO DE MÁQUINAS SECUENCIALES Diseño basado en ROM Diseño basado en PLD Secuenciador Ejemplo DISEÑO DE CONTROLADORES Especificación del dispositivo Especificación del sistema sincrónico Diseño del controlador Descripción del controlador en Verilog Simulación del controlador PROBLEMAS RESUELTOS Problema 3.. Contador Problema Problema Problema Problema ÍNDICE GENERAL ÍNDICE DE FIGURAS... 45

Organización de Computadoras Apunte 5: Circuitos Lógicos Secuenciales

Organización de Computadoras Apunte 5: Circuitos Lógicos Secuenciales Organización de Computadoras 2003 Apunte 5: Circuitos Lógicos Secuenciales Introducción: En el desarrollo de los sistemas digitales es fundamental el almacenamiento de la información, esta característica

Más detalles

LECCIÓN Nº 06 DISEÑO DE CONTADORES SINCRONOS

LECCIÓN Nº 06 DISEÑO DE CONTADORES SINCRONOS LECCIÓN Nº 06 DISEÑO DE CONTADORES SINCRONOS 1. DISPOSITIVOS SECUENCIALES Los circuitos biestables son aquellos que poseen dos estados estables que se pueden mantener por tiempo indefinido, lo que nos

Más detalles

CURSO: Electrónica digital UNIDAD III: CIRCUITOS SECUENCIALES - TEORÍA

CURSO: Electrónica digital UNIDAD III: CIRCUITOS SECUENCIALES - TEORÍA www.ceduvirt.com CURSO: Electrónica digital UNIDAD III: CIRCUITOS SECUENCIALES - TEORÍA INTRODUCCIÓN SISTEMA SECUENCIAL Un sistema combinatorio se identifica por: 1. La salida del sistema debe ser estrictamente

Más detalles

Registros y latches multibit. EL-3213 Circuitos Digitales I. Registro de 8 bits (octal register) Otros registros de 8 bits. 74x175

Registros y latches multibit. EL-3213 Circuitos Digitales I. Registro de 8 bits (octal register) Otros registros de 8 bits. 74x175 Registros y latches multibit EL-3213 Circuitos Digitales I 74x175 Registros Contadores Registros de Desplazamiento 1 2 Registro de 8 bits (octal register) 74x374 Salida de 3 estados Otros registros de

Más detalles

EL-3213 Circuitos Digitales I. Registros y latches multibit

EL-3213 Circuitos Digitales I. Registros y latches multibit EL-3213 Circuitos Digitales I Registros Contadores Registros de Desplazamiento 1 Registros y latches multibit 74x175 2 Registro de 8 bits (octal register) 74x374 Salida de 3 estados 3 Otros registros de

Más detalles

9-Sistemas Secuenciales

9-Sistemas Secuenciales 9-Sistemas Secuenciales 9. Máquinas de Estados Finitos 9.2 Mealy y Moore 9.3 Implementación en Verilog 9: Secuenciales Abstracción Dividir circuito en lógica combinacional y estado (state) Localizar los

Más detalles

ELO211: Sistemas Digitales. Tomás Arredondo Vidal 1er Semestre 2008

ELO211: Sistemas Digitales. Tomás Arredondo Vidal 1er Semestre 2008 ELO211: Sistemas Digitales Tomás Arredondo Vidal 1er Semestre 2008 Este material está basado en: textos y material de apoyo: Contemporary Logic Design 1 st / 2 nd Borriello and Randy Katz. Prentice Hall,

Más detalles

Memorias primitivas sincrónicas.

Memorias primitivas sincrónicas. Capítulo 0 Memorias primitivas sincrónicas. Se estudiarán algunos dispositivos capaces de almacenar un bit de información, desde un punto de vista lógico. Es decir, qué hace la componente; sin explicar

Más detalles

Registros de desplazamiento

Registros de desplazamiento Registros de desplazamiento Definición de registro de desplazamiento básico Tipos de registro de desplazamiento Configuraciones específicas Aplicaciones más típicas VHDL Ejercicio propuestos Definición

Más detalles

11. ANALISIS DE MAQUINAS SECUENCIALES SINCRONICAS. Red Combinacional

11. ANALISIS DE MAQUINAS SECUENCIALES SINCRONICAS. Red Combinacional ELO2 Sistemas Digitales. NLISIS DE MQUINS SEUENILES SINRONIS Dada una red secuencial sincrónica se desea obtener su diagrama de estados. Y a partir de éste, inferir el funcionamiento de la máquina. En

Más detalles

Unidad 3: Circuitos digitales.

Unidad 3: Circuitos digitales. A-1 Appendix A - Digital Logic Unidad 3: Circuitos digitales. Diapositivas traducidas del libro Principles of Computer Architecture Miles Murdocca and Vincent Heuring Appendix A: Digital Logic A-2 Appendix

Más detalles

EIE SISTEMAS DIGITALES Tema 10: Registros de desplazamiento. Nombre del curso: Sistemas Digitales Nombre del docente: Héctor Vargas

EIE SISTEMAS DIGITALES Tema 10: Registros de desplazamiento. Nombre del curso: Sistemas Digitales Nombre del docente: Héctor Vargas EIE 446 - SISTEMAS DIGITALES Tema 10: Registros de desplazamiento Nombre del curso: Sistemas Digitales Nombre del docente: Héctor Vargas OBJETIVOS DE LA UNIDAD Identificar las formas básicas de movimiento

Más detalles

TEMA 5.3 SISTEMAS DIGITALES

TEMA 5.3 SISTEMAS DIGITALES TEMA 5.3 SISTEMAS DIGITALES TEMA 5 SISTEMAS DIGITALES FUNDAMENTOS DE ELECTRÓNICA 08 de enero de 2015 TEMA 5.3 SISTEMAS DIGITALES Introducción Sistemas combinacionales Sistemas secuenciales TEMA 5.3 SISTEMAS

Más detalles

Practica 1 (3.5 %) 1. Realice el diseño y montaje de un R_S discreto activo en bajo.

Practica 1 (3.5 %) 1. Realice el diseño y montaje de un R_S discreto activo en bajo. TITULO : Biestables, Monoestables y Astables 1.-Objetivos: Practica 1 (3.5 %) Estudiar y analizar el comportamiento de los biestables asíncronos y sincronos. Realizar montajes con diferentes tipo de Monoestables.:

Más detalles

FLIP-FLOP JK MAESTRO-ESCLAVO

FLIP-FLOP JK MAESTRO-ESCLAVO FLIP-FLOPS Introducción Uno de los elementos básicos de memoria son los llamado Flip Flops. El estado de un flip flop cambia por un cambio momentáneo en sus entradas. Este cambio se denomina disparo (trigger).

Más detalles

Registros. Registro de Corrimiento Básico

Registros. Registro de Corrimiento Básico Registros. Son dispositivos digitales donde se obtiene almacenamiento temporal. Dado que la memoria y el desplazamiento de información son sus características básicas, los registros son circuitos secuenciales

Más detalles

ELO211: Sistemas Digitales. Tomás Arredondo Vidal 1er Semestre 2009

ELO211: Sistemas Digitales. Tomás Arredondo Vidal 1er Semestre 2009 ELO211: Sistemas Digitales Tomás Arredondo Vidal 1er Semestre 29 Este material está basado en: textos y material de apoyo: Contemporary Logic Design 1 st / 2 nd edition. Gaetano Borriello and Randy Katz.

Más detalles

CURSO: ELECTRÓNICA DIGITAL UNIDAD 3: SISTEMAS SECUENCIALES - TEORÍA PROFESOR: JORGE ANTONIO POLANÍA

CURSO: ELECTRÓNICA DIGITAL UNIDAD 3: SISTEMAS SECUENCIALES - TEORÍA PROFESOR: JORGE ANTONIO POLANÍA CURSO: ELECTRÓNICA DIGITAL UNIDAD 3: SISTEMAS SECUENCIALES - TEORÍA PROFESOR: JORGE ANTONIO POLANÍA Como vimos en la unidad anterior, un sistema combinatorio se identifica porque la salida del sistema

Más detalles

&217$'25(6',*,7$/(6. Figura 1.

&217$'25(6',*,7$/(6. Figura 1. &217$'25(6',*,7$/(6 En casi todos los tipos de equipo digital se encuentran flip-flops programados o conectados como contadores, usándose no solamente como contadores sino como equipo para dar la secuencia

Más detalles

Arquitecturas de Computadores. 4 Sistemas Combinacionales y Secuenciales Prof. Javier Cañas R.

Arquitecturas de Computadores. 4 Sistemas Combinacionales y Secuenciales Prof. Javier Cañas R. Arquitecturas de Computadores 4 Sistemas Combinacionales y Secuenciales Prof. Javier Cañas R. Temario 1. Introducción 2. Sistemas Combinacionales (SC) 3. Implantación de SC mediante PLA 4. Sistemas Secuenciales

Más detalles

Módulo 2 n. Figura 2.1. Simbología de un contador

Módulo 2 n. Figura 2.1. Simbología de un contador Contadores 2.1. Introducción Los contadores son aplicaciones clásicas de los flip-flop, es un dispositivo electrónico capaz de contar el número de pulsos que llegan a su entrada de reloj. En muchas ocasiones

Más detalles

Arquitectura de Computadoras para Ingeniería

Arquitectura de Computadoras para Ingeniería Arquitectura de Computadoras para Ingeniería (Cód. 7526) 1 Cuatrimestre 2016 Dra. Dana K. Urribarri DCIC - UNS Circuitos Secuenciales Dana K. Urribarri ACIng 2016 2 Circuitos secuenciales La respuesta

Más detalles

TEMA 8. REGISTROS Y CONTADORES.

TEMA 8. REGISTROS Y CONTADORES. TEMA 8. REGISTROS Y CONTADORES. TECNOLOGÍA DE COMPUTADORES. CURSO 2007/08 8.1. Registros. Tipos de registros. Registros de desplazamiento. Los registros son circuitos secuenciales capaces de almacenar

Más detalles

CONTADORES CARACTERISTICAS IMPORTANTES UTILIDAD CONTADORES DE RIZADO. CONTADOR DE RIZADO MODULO- 16.

CONTADORES CARACTERISTICAS IMPORTANTES UTILIDAD CONTADORES DE RIZADO. CONTADOR DE RIZADO MODULO- 16. CONTADORES Son circuitos digitales lógicos secuenciales de salida binaria o cuenta binaria, caracteristica de temporizacion y de memoria, por lo cual están constituidos a base de flip-flops. CARACTERISTICAS

Más detalles

Organización n del Computador 1. Lógica Digital 2 Circuitos y memorias

Organización n del Computador 1. Lógica Digital 2 Circuitos y memorias Organización n del Computador Lógica Digital 2 Circuitos y memorias Circuitos Secuenciales Circuitos combinatorios Funciones ooleanas El resultado depende sólo s de las entradas También n necesitamos circuitos

Más detalles

Relación de Problemas de Circuitos Secuenciales

Relación de Problemas de Circuitos Secuenciales Escuela Técnica de Ingenieros en Informática de Sistemas Sistemas Electrónicos Digitales Relación de Problemas de Circuitos Secuenciales 1.- Dado el circuito secuencial síncrono de la figura: a.- Trace

Más detalles

ELO211: Sistemas Digitales. Tomás Arredondo Vidal 1er Semestre 2008

ELO211: Sistemas Digitales. Tomás Arredondo Vidal 1er Semestre 2008 ELO211: Sistemas Digitales Tomás Arredondo Vidal 1er Semestre 2008 Este material está basado en: textos y material de apoyo: Contemporary Logic Design 1 st / 2 nd Borriello and Randy Katz. Prentice Hall,

Más detalles

Cuatro Tipos de Flip-Flop en la GAL22V10

Cuatro Tipos de Flip-Flop en la GAL22V10 Cuatro Tipos de Flip-Flop en la GAL22V10 Un método para eliminar los estados transitorios inestables en el diseño de circuitos digitales secuenciales es el uso de flip-flops disparados por flanco, esto

Más detalles

Lógica secuencial. Biestables

Lógica secuencial. Biestables Universidad Rey Juan Carlos Lógica secuencial. Biestables Norberto Malpica norberto.malpica@urjc.es Dpto. Tecnología Electrónica Lógica secuencial. Biestables 1 Esquema 1. Introducción a los sistemas secuenciales

Más detalles

3.3 Registros de corrimiento

3.3 Registros de corrimiento Actividad de aprendizaje 17. Los Flip-Flops Consulta en internet la configuración de terminales de los siguientes Flip-Flops JK y pega una imagen de ellos: Circuito integrado Configuración de terminales

Más detalles

T7-SISTEMAS SECUENCIALES

T7-SISTEMAS SECUENCIALES Circuitos ecuenciales 1 T7-ITEMA ECUENCIALE Los circuitos lógicos se clasifican en dos tipos: Combinacionales, aquellos cuyas salidas sólo dependen de las entradas actuales. ecuenciales, aquellos cuyas

Más detalles

Sistemas Combinacionales y Sistemas Secuenciales

Sistemas Combinacionales y Sistemas Secuenciales y Prof. Rodrigo Araya E. raraya@inf.utfsm.cl Universidad Técnica Federico Santa María Departamento de Informática Valparaíso, 1 er Semestre 2006 y 1 2 3 y Contenido Al hablar de sistemas, nos referimos

Más detalles

Trabajo práctico 2. Contadores

Trabajo práctico 2. Contadores Universidad Austral de Chile Facultad de Ciencias de la Ingeniería Trabajo práctico 2. Contadores Sistemas Lógicos Binarios ELEL-170 Integrantes: Fernando Nanco Andrés Sánchez Juan Luis Almendras Profesor:

Más detalles

INDICE Control de dispositivos específicos Diseño asistido por computadora Simulación Cálculos científicos

INDICE Control de dispositivos específicos Diseño asistido por computadora Simulación Cálculos científicos INDICE Parte I. La computadora digital: organización, operaciones, periféricos, lenguajes y sistemas operativos 1 Capitulo 1. La computadora digital 1.1. Introducción 3 1.2. Aplicaciones de las computadoras

Más detalles

Registros. Buses. Memorias.

Registros. Buses. Memorias. Capítulo 5 egistros. Buses. Memorias. 5.. egistros. Se denomina registro a una serie de flip-flops agrupados y con señales de control común. Se considera que se leerán o escribirán como una unidad. Se

Más detalles

Notas de Teórico. Flip-Flops

Notas de Teórico. Flip-Flops Departamento de Arquitectura Instituto de Computación Universidad de la República Montevideo - Uruguay Flip-Flops Arquitectura de Computadoras (Versión 4.3-2016) 7 FLIP-FLOPS 7.1 Introducción En este capítulo

Más detalles

Electrónica Digital: Diseño y Lógica Secuencial

Electrónica Digital: Diseño y Lógica Secuencial Electrónica Digital: Diseño y Lógica Secuencial Profesor: Ing Andrés Felipe Suárez Grupo de Investigación en Percepción y Sistemas Inteligentes. Email: Andres.suarez@correounivalle.edu.co Tabla de Contenido

Más detalles

Conceptos preliminares Familias lógicas Topologías Compuertas Flip Flops Osciladores. Introducción a la Electrónica

Conceptos preliminares Familias lógicas Topologías Compuertas Flip Flops Osciladores. Introducción a la Electrónica CIRCUITOS DIGITALES Conceptos preliminares Familias lógicas Topologías Compuertas Flip Flops Osciladores Memorias Conceptos preliminares Máximo nivel de tensión de entrada para un nivel lógico bajo V IL

Más detalles

Circuitos secuenciales

Circuitos secuenciales FLIP-FLOPS Circuitos secuenciales Los circuitos digitales que hasta ahora se han considerado, han sido combinacionales, esto es, las salidas en cualquier momento dependen por completo de las entradas presentes

Más detalles

Arquitecaura de Computadoras Tema 1 - Introducción a la Arquitectura de Computadoras

Arquitecaura de Computadoras Tema 1 - Introducción a la Arquitectura de Computadoras 1121025 Arquitecaura de Computadoras - Introducción a la Arquitectura de Computadoras Eduardo Rodríguez Martínez Departamento de Electrónica División de Ciencias Básicas e Ingeniería Universidad Autónoma

Más detalles

Titulación: Ingeniería Informática Asignatura: Fundamentos de Computadores. Bloque 3: Sistemas secuenciales Tema 9: Módulos secuenciales básicos

Titulación: Ingeniería Informática Asignatura: Fundamentos de Computadores. Bloque 3: Sistemas secuenciales Tema 9: Módulos secuenciales básicos Titulación: Ingeniería Informática Asignatura: Fundamentos de Computadores Bloque 3: Sistemas secuenciales Tema 9: Módulos secuenciales básicos Pablo Huerta Pellitero Luis Rincón Córcoles ÍNDICE Bibliografía

Más detalles

CÓMO FUNCIONA UN PLC Control Combinacional Programación del PLC

CÓMO FUNCIONA UN PLC Control Combinacional Programación del PLC CÓMO FUNCIONA UN PLC Control Combinacional Programación del PLC PROGRAMACIÓN DE PLC Procedimiento para programar y cargar: Determinar los requisitos del sistema al cual se aplica el PLC. Identificar los

Más detalles

Introducción Tipos de FF Ejercicios. Lógica Digital. Circuitos Secuenciales. Francisco García Eijó

Introducción Tipos de FF Ejercicios. Lógica Digital. Circuitos Secuenciales. Francisco García Eijó Circuitos Secuenciales Organización del Computador I Departamento de Computación - FCEyN UBA 13 de Abril del 2010 Agenda 1 Repaso 2 ué son los circuitos secuenciales? 3 Tipos de Flip-Flops 4 Ejercicios

Más detalles

Un contador es un circuito secuencial que genera una secuencia ordenada de salidas que se repite en el tiempo. La salida coincide con el estado de

Un contador es un circuito secuencial que genera una secuencia ordenada de salidas que se repite en el tiempo. La salida coincide con el estado de CONTADORES Un contador es un circuito secuencial que genera una secuencia ordenada de salidas que se repite en el tiempo. La salida coincide con el estado de sus biestables. Los contadores son circuitos

Más detalles

INDICE. XVII 0 Introducción 0.1. Historia de la computación

INDICE. XVII 0 Introducción 0.1. Historia de la computación INDICE Prefacio XVII 0 Introducción 0.1. Historia de la computación 1 0.1.1. Los inicios: computadoras mecánicas 0.1.2. Primeras computadoras electrónicas 0.1.3. Las primeras cuatro generaciones de computadoras

Más detalles

ÍNDICE CAPÍTULO 1. CÓDIGOS DE NUMERACIÓN CAPÍTULO 2. ÁLGEBRA DE CONMUTACIÓN Y FUNCIONES LÓGICAS... 37

ÍNDICE CAPÍTULO 1. CÓDIGOS DE NUMERACIÓN CAPÍTULO 2. ÁLGEBRA DE CONMUTACIÓN Y FUNCIONES LÓGICAS... 37 ÍNDICE LISTA DE FIGURAS... 7 LISTA DE TABLAS... 11 CAPÍTULO 1. CÓDIGOS DE NUMERACIÓN... 13 1.1. REPRESENTACIÓN DE LA INFORMACIÓN... 15 1.2. SISTEMAS DE NUMERACIÓN BINARIO NATURAL Y HEXADECIMAL... 18 1.3.

Más detalles

Operación de circuitos lógicos combinatorios.

Operación de circuitos lógicos combinatorios. Operación de circuitos lógicos combinatorios. 1.1 Analiza circuitos lógicos combinatorios, empleando sistemas y códigos numéricos. A. Identificación de las características de la electrónica digital. Orígenes

Más detalles

Unidad III Introducción a la lógica secuencial

Unidad III Introducción a la lógica secuencial Unidad III Introducción a la lógica secuencial Qué es un FLIP-FLOP. Es un biestable, también llamado báscula (flip-flop en inglés), es un multivibrador capaz de permanecer en un estado determinado o en

Más detalles

TEMA 7. Registros y contadores

TEMA 7. Registros y contadores TEMA 7. Registros y contadores 1. Registros 1.1. Definición. Sistemas secuenciales síncronos formados por agrupaciones de biestables. Capacidad de almacenamiento simultaneo de varios bits. Capacidad de

Más detalles

Lógica Secuencial. Circuitos Digitales, 2º de Ingeniero de Telecomunicación ETSIT ULPGC

Lógica Secuencial. Circuitos Digitales, 2º de Ingeniero de Telecomunicación ETSIT ULPGC Lógica Secuencial Circuitos Digitales, 2º de Ingeniero de Telecomunicación ETSIT ULPGC Componentes secuenciales Contienen elementos de memoria Los valores de sus salidas dependen de los valores en sus

Más detalles

MAQUINAS DE ESTADO ALGORITMICAS

MAQUINAS DE ESTADO ALGORITMICAS FACULTAD DE INGENIERIA DEPARTAMENTO DE ELECTRONICA Y AUTOMATICA CATEDRAS: SISTEMAS DIGITALES II ELECTRONICA DIGITAL II Guía de Ejercicios Nº 2 MAQUINAS DE ESTADO ALGORITMICAS SISTEMAS DIGITALES II ELECTRÓNICA

Más detalles

LECCIÓN Nº 05 SEÑALES DE RELOJ Y FLIP FLOP SINCRONIZADOS POR RELOJ

LECCIÓN Nº 05 SEÑALES DE RELOJ Y FLIP FLOP SINCRONIZADOS POR RELOJ LECCIÓN Nº 05 SEÑALES DE RELOJ Y FLIP FLOP SINCRONIZADOS POR RELOJ. FLIP FLOP RS SINCRONIZADOS POR RELOJ Un flip-flop S-R es un circuito multivibrador biestable conformado por un detector de transición

Más detalles

Contadores. Apunte N 7

Contadores. Apunte N 7 Contadores Apunte N 7 C o n tadores Los contadores son sistemas digitales secuenciales que tienen el propósito de contar sucesos electrónicos, como los impulsos, avanzando a través de una secuencia de

Más detalles

Circuitos Lógicos Combinatorios. Ing. Jorge Manrique 2004 Sistemas Digitales 1

Circuitos Lógicos Combinatorios. Ing. Jorge Manrique 2004 Sistemas Digitales 1 Circuitos Lógicos Combinatorios Ing. Jorge Manrique 2004 Sistemas Digitales 1 Circuitos Combinatorios Un circuito combinatorio es un arreglo de compuertas lógicas con un conjunto de entradas y salidas.

Más detalles

LECCIÓN Nº 08 DISEÑO DE SISTEMAS SECUENCIALES CON REGISTROS

LECCIÓN Nº 08 DISEÑO DE SISTEMAS SECUENCIALES CON REGISTROS LECCIÓN Nº 08 DISEÑO DE SISTEMAS SECUENCIALES CON REGISTROS 1. REGISTROS Los registros son circuitos secuenciales capaces de almacenar una cantidad limitada de información binaria durante un determinado

Más detalles

Arquitectura de Computadores. Resumen para Certamen 2 Por Franco Zuccar

Arquitectura de Computadores. Resumen para Certamen 2 Por Franco Zuccar Arquitectura de Computadores Resumen para Certamen 2 Por Franco Zuccar Componentes básicos Compuertas logicas (AND, OR, NOT, XOR, NAND y NOR) Flip-Flop s (SR, JK, D y T) Los circuitos combinacionales se

Más detalles

Introducción a los Circuitos Secuenciales LATCHES Y FLIP-FLOPS. Por: Carlos A. Fajardo

Introducción a los Circuitos Secuenciales LATCHES Y FLIP-FLOPS. Por: Carlos A. Fajardo Introducción a los Circuitos Secuenciales LATCHES Y FLIP-FLOPS Por: Carlos A. Fajardo cafajar@uis.edu.co Actualizado Julio de 2015 Circuitos Secuenciales Son circuitos digitales con memoria. Su salida

Más detalles

Secuenciales II. Diseño de Sistemas con FPGA Patricia Borensztejn

Secuenciales II. Diseño de Sistemas con FPGA Patricia Borensztejn Secuenciales II Diseño de Sistemas con FPGA Patricia Borensztejn Revisado 2014 Modelado de Sistemas Secuenciales Según la función del siguiente estado se dividen en: Circuitos secuenciales regulares: el

Más detalles

Circuitos Lógicos Secuenciales. Figura 36. Circuito lógico secuencial. Actividad de apertura. Circuitos lógicos secuenciales.

Circuitos Lógicos Secuenciales. Figura 36. Circuito lógico secuencial. Actividad de apertura. Circuitos lógicos secuenciales. Circuitos Lógicos Secuenciales UNIDAD 3 Como recordaras en la unidad pasada vimos los circuitos combinacionales, en estos las salidas solo dependen del valor de las entradas. A diferencia de los circuitos

Más detalles

TEMA 10. CIRCUITOS SECUENCIALES

TEMA 10. CIRCUITOS SECUENCIALES TEMA 10. CIRCUITOS SECUENCIALES http://www.tech-faq.com/wp-content/uploads/images/integrated-circuit-layout.jpg IEEE 125 Aniversary: http://www.flickr.com/photos/ieee125/with/2809342254/ 1 TEMA 10. CIRCUITOS

Más detalles

Los circuitos con realimentación no son combinacionales. Constituyen un nuevo tipo, los llamados secuenciales.

Los circuitos con realimentación no son combinacionales. Constituyen un nuevo tipo, los llamados secuenciales. TEMA 6: BIESTABLES. 6.1. Elementos de memoria: biestables y tipos. Los circuitos con realimentación no son combinacionales. Constituyen un nuevo tipo, los llamados secuenciales. La característica principal

Más detalles

2, Detallar los diversos tipos de Flip Flop

2, Detallar los diversos tipos de Flip Flop Profesor/a(s) Ramon Flores Pino Nivel o Curso/s 4º D Unidad/Sub Unidad 3.- Circuitos de lógica Secuencial GUÍA Nº Contenidos 1.- Temporizador 555 2. Flip Flops, Contadores Aprendizajes Esperados 1 Explicar

Más detalles

1. MÓDULOS DE LA MÁQUINA DE SERVICIOS DE LÍQUIDO

1. MÓDULOS DE LA MÁQUINA DE SERVICIOS DE LÍQUIDO CURSO: ELECTRÓNICA DIGITAL UNIDAD 4: CONTROLADORES DIGITALES - TEORÍA PROFESOR: JORGE ANTONIO POLANÍA En esta unidad se aprenderá a diseñar controladores digitales con base en la lógica combinatoria y

Más detalles

Organización del Computador 1 Lógica Digital 2: circuitos y memor

Organización del Computador 1 Lógica Digital 2: circuitos y memor Organización del Computador 1 Lógica Digital 2: circuitos y memorias Departamento de Computación Facultad de Ciencias Exactas y Naturales Universidad de Buenos Aires Septiembre 2009 Circuitos secuenciales

Más detalles

Implementación de una unidad procesadora de cuatro bits, como material didáctico

Implementación de una unidad procesadora de cuatro bits, como material didáctico Implementación de una unidad procesadora de cuatro bits, como material didáctico Ángel Eduardo Gasca Herrera Universidad Veracruzana Agasca3@hotmail.com Jacinto Enrique Pretelin Canela Universidad Veracruzana

Más detalles

Circuitos Secuenciales Autómatas

Circuitos Secuenciales Autómatas Circuitos Secuenciales Autómatas Apunte N 8 B iestables Un biestable es un dispositivo capaz de almacenar un bit ( ó ). Principio de funcionamiento de un biestable: Utilizando realimentación entre puertas

Más detalles

Sistemas Secuenciales

Sistemas Secuenciales Electrónica Básica Sistemas Secuenciales Electrónica Digital José Ramón Sendra Sendra Dpto. de Ingeniería Electrónica y Automática ULPGC CIRCUITOS SECUENCIALES Combinacional: las salidas dependen de las

Más detalles

BLOQUES FUNCIONALES GENERALES

BLOQUES FUNCIONALES GENERALES BLOQUES FUNCIONALES GENERALES INTRODUCCIÓN Los circuitos secuenciales, de la misma forma que los combinacionales, están constituidos por puertas lógicas, y como en estos últimos, la escala de integración

Más detalles

Creación de biestables tipo D y T con biestable JK

Creación de biestables tipo D y T con biestable JK Creación de biestables tipo D y T con biestable JK El biestable JK es también llamado "biestable universal" debido a que con él, se pueden implementar otros tipos de biestable, como el biestable tipo D

Más detalles

LECCIÓN Nº 02 FUNCIONES DE LOGICA COMBINACIONAL (PARTE 1)

LECCIÓN Nº 02 FUNCIONES DE LOGICA COMBINACIONAL (PARTE 1) LECCIÓN Nº 02 FUNCIONES DE LOGICA COMBINACIONAL (PARTE 1) 1. CONVERSORES DE CODIGO La disponibilidad de una gran variedad de códigos para los mismos elementos discretos de información origina el uso de

Más detalles

Técnicas Digitales Biestables

Técnicas Digitales Biestables G.E.: 7 Técnicas Digitales Biestables 1) Se tiene un biestable simple y se aplica a la única entrada S la señal que se muestra a continuación. Obtenga la salida Q suponiendo que Q en el instante inicial

Más detalles

41(T0)T.':#0&'4'4#T. Diseñar en VHDL un decodificador BCD a 7 segmentos que cumpla con las siguientes condiciones:

41(T0)T.':#0&'4'4#T. Diseñar en VHDL un decodificador BCD a 7 segmentos que cumpla con las siguientes condiciones: Diseñar en VHDL un decodificador BCD a 7 segmentos que cumpla con las siguientes condiciones: D Salidas activas en bajo para manejar display ánodo común E Entradas (4 bits) activas en bajo (o con lógica

Más detalles

2).Diseñar los circuitos cuyas tablas de estados son las siguientes:

2).Diseñar los circuitos cuyas tablas de estados son las siguientes: EJERCICIOS Tema 7 Ejercicios Síncronos 1) Deduce las tablas de estado que se correponden con los siguientes diagramas de estado. 2).Diseñar los circuitos cuyas tablas de estados son las siguientes: 0 1

Más detalles

ELO311 Estructuras de Computadores Digitales. Algoritmos de Multiplicación y División

ELO311 Estructuras de Computadores Digitales. Algoritmos de Multiplicación y División ELO311 Estructuras de Computadores Digitales Algoritmos de Multiplicación y División Tomás Arredondo Vidal Este material está basado en: material de apoyo del texto de David Patterson, John Hennessy, "Computer

Más detalles

Circuitos Secuenciales: concepto de estado

Circuitos Secuenciales: concepto de estado Lógica Secuencial Circuitos Secuenciales: concepto de estado En los sistemas secuenciales la salida Z en un determinado instante de tiempo t i depende de X en ese mismo instante de tiempo t i y en todos

Más detalles

Circuitos Digitales Avanzados

Circuitos Digitales Avanzados Circuitos Digitales Avanzados M.C. Jorge E. Ibarra Esquer jorgeeie@uabc.mx Contenido Circuitos secuenciales con dispositivos MSI Redes iterativas Circuitos para operaciones aritméticas Dispositivos programables

Más detalles

Práctica 5. Generadores de Señales de Reloj y Flip-flops

Práctica 5. Generadores de Señales de Reloj y Flip-flops 5.1 Objetivo Práctica 5 Generadores de Señales de Reloj y Flip-flops El alumno conocerá y comprobará el funcionamiento de dispositivos empleados en la lógica secuencial y dispositivos con memoria basados

Más detalles

ARQUITECTURA DE LAS COMPUTADORAS FLIP-FLOP

ARQUITECTURA DE LAS COMPUTADORAS FLIP-FLOP RUITETUR DE LS OMPUTDORS FLIP-FLOP Un flip-flop es un elemento de memoria que almacena bits (tensiones altas y bajas), a diferencia de las compuertas que son elementos de toma de decisión. lasificación:

Más detalles

Introducción Flip-Flops Ejercicios Resumen. Lógica Digital. Circuitos Secuenciales - Parte I. Francisco García Eijó

Introducción Flip-Flops Ejercicios Resumen. Lógica Digital. Circuitos Secuenciales - Parte I. Francisco García Eijó Lógica Digital Circuitos Secuenciales - Parte I Francisco García Eijó Organización del Computador I Departamento de Computación - FCEyN UBA 7 de Septiembre del 2010 Agenda 1 Repaso 2 Multimedia Logic 3

Más detalles

LABORATORIO DE CIRCUITOS DIGITALES (2005-II) CUARTA CLASE DE VHDL

LABORATORIO DE CIRCUITOS DIGITALES (2005-II) CUARTA CLASE DE VHDL LABORATORIO E CIRCUITOS IGITALES (2005-II) CUARTA CLASE E VHL CIRCUITOS SECUENCIALES Procesos asíncronos (Latches) Procesos síncronos (flip flops, contadores y registros) CIRCUITOS SECUENCIALES En los

Más detalles

Lección 6. Circuitos Secuenciales. Ing. Luis Diego Murillo L1-Control Eléctrico 1

Lección 6. Circuitos Secuenciales. Ing. Luis Diego Murillo L1-Control Eléctrico 1 Lección 6 Circuitos Secuenciales Ing. Luis Diego Murillo L1-Control Eléctrico 1 Agenda Definiciones de lógica secuencial Modelos de circuitos secuenciales Elementos de memoria Cerrojos y Biestables S-R,

Más detalles

Registros y contadores

Registros y contadores Universidad Rey Juan Carlos Registros y contadores Norberto Malpica norberto.malpica@urjc.es Ingeniería de Tecnologías Industriales Registros y contadores 1 Esquema 1. Concepto de registro. 2. Registros

Más detalles

ELO211: Sistemas Digitales. Tomás Arredondo Vidal 1er Semestre 2008

ELO211: Sistemas Digitales. Tomás Arredondo Vidal 1er Semestre 2008 ELO211: Sistemas Digitales Tomás Arredondo Vidal 1er Semestre 2008 Este material está basado en: textos y material de apoyo: Contemporary Logic Design 1 st / 2 nd Borriello and Randy Katz. Prentice Hall,

Más detalles

INDICE Prefacio 1 Sistemas numéricos y códigos 2 Circuitos digitales

INDICE Prefacio 1 Sistemas numéricos y códigos 2 Circuitos digitales INDICE Prefacio xix 1 Sistemas numéricos y códigos 1.1 Sistemas numéricos posicionales 2 1.2 Número octales y hexadecimales 3 1.3 Conversiones entre sistemas numéricos posicionales 5 1.4 Suma y resta de

Más detalles

Latches, flipflops y registros

Latches, flipflops y registros Departamento de Electrónica Electrónica Digital Latches, flipflops y registros Facultad de Ingeniería Bioingeniería Universidad Nacional de Entre Ríos Circuitos secuenciales 1 Circuitos secuenciales Salida

Más detalles

Universidad Central de Venezuela Facultad de Ciencias Escuela de Computación Organización y Estructura del Computador II Semestre I-2014.

Universidad Central de Venezuela Facultad de Ciencias Escuela de Computación Organización y Estructura del Computador II Semestre I-2014. Universidad Central de Venezuela Facultad de Ciencias Escuela de Computación Organización y Estructura del Computador II Semestre I-2014 Práctica #3 1) Qué es un latch? Qué es un flip-flop? 2) Si se aplican

Más detalles

Circuitos Secuenciales

Circuitos Secuenciales EL - 337 Página Agenda EL - 337 Página 2 Introducción El biestable de flip flops de flip flops tipo D de flip flops tipo T de flip flops tipo S-R de flip flops tipo J-K de circuitos Conclusiones Introducción

Más detalles

Sistemas Digitales. Diseño lógico con Verilog. Sinopsis. Prólogo.

Sistemas Digitales. Diseño lógico con Verilog. Sinopsis. Prólogo. 1 Sistemas Digitales. Diseño lógico con Verilog. Sinopsis. El texto está orientado a un primer curso de diseño lógico en programas de estudios de ingenieros eléctricos, electrónicos, telemáticos y de ciencias

Más detalles

Secuenciales (1) Diseño de Sistemas con FPGA Patricia Borensztejn

Secuenciales (1) Diseño de Sistemas con FPGA Patricia Borensztejn Secuenciales (1) Diseño de Sistemas con FPGA Patricia Borensztejn Sistemas Secuenciales Es un circuito con memoria. La memoria forma el estado del circuito. Las salidas son función de las entradas y del

Más detalles

10/15/2014 Microprocesador Digital II 1

10/15/2014 Microprocesador Digital II 1 1 2 Temporización del canal Temporización en General Las operaciones de transferencia de datos hacia o desde el 8086 ocupan al menos un bus cycle Cada bus cycle consiste en 4 períodos de reloj del sistema

Más detalles

Circuitos Secuenciales

Circuitos Secuenciales Circuitos Secuenciales En la lógica combinacional los circuitos producen una respuesta instantánea, es decir, las salidas se pueden calcular a partir de la combinación de los valores de las entradas en

Más detalles

INDICE Capitulo 1. Álgebra de variables lógicas Capitulo 2. Funciones lógicas

INDICE Capitulo 1. Álgebra de variables lógicas Capitulo 2. Funciones lógicas INDICE Prefacio XV Capitulo 1. Álgebra de variables lógicas 1 1.1. Variables y funciones 1 1.2. Variables lógicas 2 1.3. Valores de una variable lógica 2 1.4. Funciones de una variable lógica 3 1.5. Funciones

Más detalles

2. Biestables asíncronos. Biestables R-S. Tecnología Industrial II. Tema 4.- Elementos básicos de un circuito secuencial.

2. Biestables asíncronos. Biestables R-S. Tecnología Industrial II. Tema 4.- Elementos básicos de un circuito secuencial. . Clases de circuitos secuenciales. Los circuitos secuenciales pueden ser asíncronos o síncronos. Un circuito secuencial es asíncrono cuando los cambios de estado tienen lugar cuando están presentes las

Más detalles

SISTEMAS ELECTRÓNICOS DIGITALES

SISTEMAS ELECTRÓNICOS DIGITALES SISTEMAS ELECTRÓNICOS DIGITALES PRÁCTICA 6 SISTEMA DE ENCRIPTACIÓN 1. Objetivos - Estudio del funcionamiento de memorias RAM y CAM. - Estudio de métodos de encriptación y compresión de datos. 2. Enunciado

Más detalles

EIE SISTEMAS DIGITALES Tema 7: Latches, Flip-Flops y Temporizadores. Nombre del curso: Sistemas Digitales Nombre del docente: Héctor Vargas

EIE SISTEMAS DIGITALES Tema 7: Latches, Flip-Flops y Temporizadores. Nombre del curso: Sistemas Digitales Nombre del docente: Héctor Vargas EIE 446 - SISTEMAS DIGITALES Tema 7: Latches, Flip-Flops y Temporizadores Nombre del curso: Sistemas Digitales Nombre del docente: Héctor Vargas OBJETIVOS DE LA UNIDAD Utilizar puertas lógicas para construir

Más detalles

Departamento de Tecnología Electrónica Universidad de Sevilla. Circuitos Electrónicos Digitales. Análisis y diseño de circuitos secuenciales

Departamento de Tecnología Electrónica Universidad de Sevilla. Circuitos Electrónicos Digitales. Análisis y diseño de circuitos secuenciales Circuitos Electrónicos Digitales Análisis y diseño de circuitos secuenciales Contenidos 1.Introducción 2.Biestables 3.Máquinas de estados finitos y circuitos secuenciales síncronos (CSS) 4.Diseño de CSS

Más detalles

INTRODUCCIÓN. Comunicación Serial.

INTRODUCCIÓN. Comunicación Serial. INTRODUCCIÓN La función principal de este tipo de comunicación es la de convertir datos de salida de forma paralela a serial y la de convertir datos de entrada de forma serial a paralela. El acceso al

Más detalles

DISEÑO DE CIRCUITOS SECUENCIALES

DISEÑO DE CIRCUITOS SECUENCIALES DISEÑO DE CIRCUITOS SECUENCILES Circuitos Digitales EC1723 Diseño de circuitos secuenciales (1) partir del enunciado del problema, construir el diagrama de estados y/o la tabla de estados y salidas. Determinar

Más detalles

IRCUITOS LOGICOS SECUENCIALES

IRCUITOS LOGICOS SECUENCIALES C IRCUITOS LOGICOS SECUENCIALES A diferencia de los circuitos combinacionales, en los circuitos secuenciales se guarda memoria de estado. Las salidas no dependen tan solo del valor de las entradas en un

Más detalles