Tutorial introductorio al Lenguaje Abel ( Advanced Bolean Equations Language).

Tamaño: px
Comenzar la demostración a partir de la página:

Download "Tutorial introductorio al Lenguaje Abel ( Advanced Bolean Equations Language)."

Transcripción

1 Tutorial introductorio al Lenguaje Abel ( Advanced Bolean Equations Language). 1.Lenguaje Abel. 2.Estructura básica de un programa Abel. 3.Links y referencias 2.1 Encabezado. 2.2 Declaraciones. 2.3 Descripción lógica Bloques de ecuaciones Tablas de verdad Diagrama de estados 2.4 Vectores de Test Representación de máquinas secuenciales. 1. Lenguaje Abel Abel es un lenguaje de descripción de hardware (HDL) que permite: 1. Describir un circuito digital. 2. Definir vectores de test para comprobar el funcionamiento del código generado. 3. Generar un archivo.svf.jedec para quemar un dispositivo lógico programable como son los PLD y CPLD. A continuación se presenta un compendio de los aspectos más relevantes de este lenguaje. Esto no pretende ser una discusión completa que permita al lector profundizar sus conocimientos. Si Usted ya está familiarizado con el lenguaje, este escrito sólo le servirá como repaso. Laboratorio de Sistemas Digitales. Prof. Leopoldo Silva Bijit

2 2.Estructura básica de un programa Abel. A continuación se presenta en la tabla 1 la estructura típica de un programa Abel. Tabla 1 Estructura típica de un Encabezado Module module_name Programa en Abel. title string Declaraciones Descripción lógica Vectores de Test DeviceID device devicetype Declaraciones de pin otras declaraciones Equations Ecuaciones test_vectors vectores de test end module_name 2.1 Encabezado. Tiene las siguientes palabras claves. Palabra clave: module. Esta palabra clave es necesaria pues indica el nombre y el comienzo del módulo. Debe ir siempre un END al final del modulo. Programas largos pueden tener varios módulos, cada uno con su propio nombre, título, declaraciones y ecuaciones. Palabra clave: title. Especifica un string de título que será incluido en los archivos de documentación generada por el compilador. Los comentarios se agregan comenzando el texto con comillas dobles. Laboratorio de Sistemas Digitales. Prof. Leopoldo Silva Bijit

3 2.2 Declaraciones. A esta área corresponden los siguientes aspectos. Palabra clave: device. La declaración device es opcional e incluye un identificador y un string que denota el tipo de dispositivo. El compilador usa el nombre del dispositivo, para verificar que este soporta las ecuaciones lógicas especificadas en el programa. Palabra clave: pin (pin declaration) Las declaraciones de pin informan al compilador acerca de los nombres asociados a los pines del componente. Si el nombre de la señal declarada está precedida por un NOT (!), entonces en el pin asociado se presentará el complemento de la señal en cuestión. Palabra clave: node. Se usa para declarar señales que no son conectadas a pines externos. Palabra clave: istype. Define una lista de atributos de una señal. Se puede usar después de una declaración de pin o node. En el manual de referencia (pág 51) se encuentra una lista de atributos que resulta interesante revisar. Un ejemplo es la palabra clave com que define una salida combinacional. Uso de range. Un rango de nombre de señales puede definirse con el primer y último nombre de del rango separados por..., por ejemplo L0...L5. Uso de Set. Un Set es un conjunto de señales o constantes referenciadas con un solo nombre. Cada operación aplicadas al Set es aplicada a cada una de las señales que lo componen. También es posible declarar constantes y expresiones que pueden simplificar el programa. Laboratorio de Sistemas Digitales. Prof. Leopoldo Silva Bijit

4 2.3 Descripción Lógica. Un diseño lógico puede ser descrito de las siguientes maneras: 1. Bloques de ecuaciones. 2. Tablas de verdad. 3. Diagrama de estados Bloques de ecuaciones. Se utiliza la palabra clave equations para comenzar la descripción lógica. Las ecuaciones se especifican con expresiones lógicas utilizando operadores o bloques When- Then-Else. Alguno de los operadores son los siguientes: & AND # OR! NOT $ XOR!$ XNOR Ejemplo 1. En este ejemplo MODULE V7408 Podemos revisar " Quad two input AND gate. Cuatro algunos conceptos ands de dos entradas. ya conocidos. " Declaraciones de señales de entrada A0, A1, B0, B1, C0, C1, D0, D1 PIN; " Declaraciones de señales de salida. Se requiere definir un tipo de salida. YA, YB, YC, YD PIN istype 'com'; EQUATIONS YA = A0 & A1; YB = B0 & B1; YC = C0 & C1; YD = D0 & D1; END Laboratorio de Sistemas Digitales. Prof. Leopoldo Silva Bijit

5 2.3.2 Tablas de verdad. Es otra manera de especificar funciones combinaciones lógicas. La forma general de utilizar esta característica se muestra en la tabla 2. Tabla 2 truth_table (input-list -> output-list) Estructura general de una tabla de verdad... input-value -> output-value; input-value -> output-value; Como se observa en la tabla 2 la tabla de verdad debe ir precedida por la palabra clave truth_table. Las palabras input_value y output_value son los nombres de las señales de entrada y salida de la tabla. En el ejemplo presentado en la tabla 3 se ilustra la definición de una función mediante tablas de verdad. Tabla 3 " Función de tres variables. Definición de MODULE f3 una función mediante " entradas tablas de verdad. a, b, c PIN; " salidas f PIN ISTYPE 'COM'; EQUATIONS truth_table ( [a, b, c]->f ) [0, 0, 0]-> 0; [0, 0, 1]-> 1; [0, 1, 0]-> 0; [0, 1, 1]-> 1; [1, 0, 0]-> 0; [1, 0, 1]-> 0; [1, 1, 0]-> 1; [1, 1, 1]-> 1; Test_vectors ( [a, b, c]->f ) [0, 0, 0]-> 0; [0, 0, 1]-> 1; [0, 1, 0]-> 0; [0, 1, 1]-> 1; [1, 0, 0]-> 0; [1, 0, 1]-> 0; [1, 1, 0]-> 1; [1, 1, 1]-> 1; END Laboratorio de Sistemas Digitales. Prof. Leopoldo Silva Bijit

6 2.3.4 Diagramas de estado. En un sistema secuencial, para poder generar la salida en un tiempo dado, es preciso conocer valores previos de algunas variables. No bastan los valores presentes de la entrada. Es decir, debe almacenarse información concerniente a los valores de las entradas pasadas, para poder generar la salida a partir de la entrada presente y los valores almacenados. La información anteriormente mencionada, se almacena en estados internos. Los valores que deben registrarse para recordar la situación, debida a los valores de las entradas pasadas, se almacena en variables de estado. En cualquier instante, una máquina secuencial está en uno de un número finito de estados; éstos quedan determinados por el valor de las variables de estado. En la figura 1 podemos ver un esquemático de lo anterior Representación de máquinas secuenciales Se entiende por representación, la descripción de cómo se pasa de un estado a otro, debido a los cambios de las entradas. Las representaciones deben describir en forma precisa y completa a la máquina. Modelo de Mealy Es un modelo secuencial en el cual la salida está asociada a las transiciones entre estados. Las salidas y el próximo estado cambian instantáneamente con los cambios de las entradas. Diagrama de estados: Como muestra la figura 2, los estados se representan mediante círculos, y por líneas rotuladas y orientadas a las transiciones. El rótulo indica la entrada y la salida que provoca la transición. Se separan con un slash. Laboratorio de Sistemas Digitales. Prof. Leopoldo Silva Bijit

7 El diagrama de estados de la figura 2 se lee: Estando en el estado y, cuando llega la entrada x se pasa al estado Y, con salida z. A continuación se tiene en la figura 3 el diagrama de estados de una máquina secuencial (Modelo de Mealy) que produce una salida alta cada vez que se detecta la secuencia 0101 en la entrada; y salida cero en el resto de los casos. En la tabla 4 se muestra el código en Abel para esta máquina de estrados. En las declaraciones se emplea el tipo reg, para declarar una salida registrada; es decir, una salida de un flip-flop D. En el diseño se tienen dos salidas: z es una salida combinacional, asincrónica; mientras que la salida zs es de tipo sincrónica. Luego se declara el registro de estado(sreg), en función de las salidas de los flip-flops. También se efectúa un mapeo de nombres lógicos a físicos. Esto también se denomina asignación de estados. El estado inicial, será el estado A; cuyo nombre binario será [0, 0]. En las ecuaciones se indican las señales de entrada que ingresan al reloj(clock), a la habilitación de la salida(enab, no se usa enable ya que es palabra reservada) y al reseteo asincrónico de la macrocelda(reset). Luego se describe el diagrama de estados de sreg, mediante la especificación de cada estado. Laboratorio de Sistemas Digitales. Prof. Leopoldo Silva Bijit

8 En este se indican las transiciones de acuerdo a los valores de las entradas(esto se indica con la sentencia if then else); y los valores que deben tomar las salidas(esto se realiza con la sentencia with). Debe notarse que las salidas registradas se asignan con :=, y que a las salidas combinacionales se les asigna un valor con el signo igual. Pueden agruparse acciones, mediante el uso de paréntesis cursivos{ }. Finalmente se especifican vectores de prueba. Se emplea la constante.c. para modelar un pulso de reloj. Tabla4 MODULE estado1 Ejemplo de máquina de estados TITLE 'Diagrama de Estados. Modelo de Mealy' "Declaraciones q1, q0, zs pin istype 'reg'; "Estado y Salida Registrada clock, enab, reset, x pin ; "Entradas z pin istype 'com'; "Salida Combinacional. sreg = [q1,q0]; "Valores de los estados A= 0; B= 1; C= 2; D= 3; equations [q1,q0,zs].clk= clock; [q1,q0,zs].oe =!enab; [q1,q0,zs].ar = reset; "Con reset va a [0, 0](el estado A). state_diagram sreg; State A: zs := 0; if (!x) then B with z = 0; else A with z = 0; State B: if (!x) then B with z = 0; else C with z = 0; State C: if (!x) then D with z = 0; else A with z = 0; State D: if (!x) then B with z = 0; else C with {z = 1; zs := 1;} Laboratorio de Sistemas Digitales. Prof. Leopoldo Silva Bijit

9 test_vectors ( [clock,enab,reset, x]->[sreg,zs]) [.c., 0, 1, 0 ]->[A,0]; [.c., 0, 0, 1 ]->[A,0]; [.c., 0, 0, 0 ]->[B,0]; [.c., 0, 0, 1 ]->[C,0]; [.c., 0, 0, 0 ]->[D,0]; [.c., 0, 0, 1 ]->[C,1]; [.c., 0, 0, 1 ]->[A,0]; [.c., 0, 0, 0 ]->[B,0]; [.c., 0, 0, 0 ]->[B,0]; [.c., 0, 0, 1 ]->[C,0]; [.c., 0, 0, 1 ]->[A,0]; END Como observamos el diagrama de estado contiene la descripción de estado para el diseño lógico. La sintaxis para un diagrama de estados se muestra en la tabla 5. Tabla 5 Sintaxis de un diagrama de estados. state_diagram state_reg STATE state_value : [equation;] [equation;] : : trans_stmt ;... La palabra clave state_diagram indica el comienzo de la descripción de una máquina de estados. La palabra clave STATE y todas las que se describen a continuación, describen un estado del diagrama de estados. En particular STATE da al estado un nombre o valor state_reg: es un identificador que define la señal que determina el estado de la máquina. state_value: puede ser una expresión, un valor o un nombre simbólico del estado. equation: define las salidas de la máquina de estado. tran_stmt: define el próximo estado. Laboratorio de Sistemas Digitales. Prof. Leopoldo Silva Bijit

10 Modelo de Moore Modelo secuencial en el cual la salida sólo está asociada al estado presente. Las salidas cambian sólo en cantos de sincronización del reloj. El diagrama de estados asociado a este modelo se presenta en la figura 4. Estando en estado y, con salida z; cuando ocurre la entrada x se pasa al estado Y, con salida z2. La salida no cambia en la transición. Por esta razón, las salidas se asocian a los estados. A continuación se presenta un reconocedor de un patrón finito. Modelo de Moore Sean: entrada x y salida z. La salida se activa cada vez que se presenta la secuencia 010, y mientras que la secuencia 100 no se haya presentado, en cuyo caso la salida se desactiva permanentemente. El diagrama de estados está representado en la figura 5. Laboratorio de Sistemas Digitales. Prof. Leopoldo Silva Bijit

11 El diseño queda implementado por el programa Abel ilustrado la tabla 5. Tabla 5 MODULE fsm010 TITLE 'fsm010' "Activa Z cada vez que llega 010 y mientras no llegue 100. "si llega 100 se activa Y, y permanece en ese estado. "Declaraciones "Pines de entrada clk, Xin, RESET pin; "Pines de Salida Q0, Q1, Q2 pin istype 'reg'; Z, Y pin istype 'com'; "Registro de Estado SREG = [Q0, Q1, Q2]; "Definicion de Estados S0 = [0,0,0]; " Estado Inicial. (Reset state) S1 = [0,0,1]; " secuencias tipo...0 S2 = [0,1,0]; " secuencias tipo...01 S3 = [0,1,1]; " secuencias tipo S4 = [1,0,0]; " secuencias tipo...1 S5 = [1,0,1]; " secuencias tipo...10 S6 = [1,1,0]; " secuencias tipo equations [Q0,Q1,Q2].clk= clk; [Q0.ar, Q1.ar, Q2.ar] = RESET; "Con Reset va a S0 " Z=!Q0&Q1&Q2; Y=Q0&Q1&!Q2; "Modelo de Moore state_diagram SREG state S0: Z=0; Y=0; if Xin then S4 else S1; state S1: if Xin then S2 else S1; state S2: if Xin then S4 else S3; state S3: Z=1; if Xin then S2 else S6; state S4: if Xin then S4 else S5; state S5: if Xin then S2 else S6; state S6: Y=1; goto S6; Laboratorio de Sistemas Digitales. Prof. Leopoldo Silva Bijit

12 test_vectors ([clk, RESET, Xin] -> [Z,Y]) [.C.,1,.X.] ->[0,0]; "reset [.C.,0, 0] -> [0,0]; "secuencia [.C.,0, 0] -> [0,0]; [.C.,0, 1] -> [0,0]; [.C.,0, 0] -> [1,0]; [.C.,0, 1] -> [0,0]; [.C.,0, 0] -> [1,0]; [.C.,0, 1] -> [0,0]; [.C.,0, 0] -> [1,0]; [.C.,0, 0] -> [0,1]; [.C.,0, 1] -> [0,1]; [.C.,0, 0] -> [0,1]; END fsm010; 2.4 Vectores de prueba Como se ha observado en muchos de los ejemplos entregados. Abel posee un sistema opcional de vectores de prueba. El formato general de los vectores de test es similar a las tablas de verdad y se muestra en la tabla 5. Tabla 6 test_vectors (input-list -> output-list) Estructura general input-value -> output-value; de los vectores de prueba... input-value -> output value; Como se observa en la tabla 6 la tabla de vectores de test debe ir precedida por la palabra clave test_vectors. Las palabras input_value y output_value dan los nombres a las señales de entrada y salida de los vectores de prueba. Para profundizar un poco más en la gran utilidad de estos vectores de prueba, volvamos al ejemplo 1 de la pág. 4. Para este ejemplo podríamos escribir el siguiente conjunto de vectores de prueba. Test_vectors ( [A1, A0 ] -> [YA]) [0, 0] ->[ 0 ]; [0, 1] ->[ 0 ]; [1, 0] ->[ 0 ]; [1, 1] ->[ 1 ]; Laboratorio de Sistemas Digitales. Prof. Leopoldo Silva Bijit

13 Cada renglón define un vector, asignando valores a las entradas y salidas. La primera línea, entre paréntesis redondos, muestra los identificadores de las señales de entrada a la izquierda de la asignación; y la o las señales de salida a la derecha. Luego vienen los valores de las entradas que se desea probar y la o las correspondientes salidas que se desean verificar. La simulación funcional aplica en las entradas los estímulos y calcula mediante las ecuaciones o tablas de verdad los valores de las salidas; y revisa que éstos coincidan con las salidas asignadas a cada uno de los vectores. Al final resume si las diferentes pruebas pasaron o no. AA Y 0 1 A V L V L V L V H 4 out of 4 vectors passed. Efectuada la simulación temporal puede obtenerse la forma de onda de la salida (figura 6), para los estímulos descritos en los vectores, las cuales muestran el retardo de propagación del canto de subida de la señal de salida(ya): 3. Links y referencias. Referencias Links Xilinx-ABEL Design Software Reference Manual, Data I/O Corp., The ABEL Hardware Design Language, 1999 by John F. Wakerly. ABEL-HDL Reference Manual, Data I/O Corporation., ABEL%20Primer.htm#State Laboratorio de Sistemas Digitales. Prof. Leopoldo Silva Bijit

Cuatro Tipos de Flip-Flop en la GAL22V10

Cuatro Tipos de Flip-Flop en la GAL22V10 Cuatro Tipos de Flip-Flop en la GAL22V10 Un método para eliminar los estados transitorios inestables en el diseño de circuitos digitales secuenciales es el uso de flip-flops disparados por flanco, esto

Más detalles

Registros y latches multibit. EL-3213 Circuitos Digitales I. Registro de 8 bits (octal register) Otros registros de 8 bits. 74x175

Registros y latches multibit. EL-3213 Circuitos Digitales I. Registro de 8 bits (octal register) Otros registros de 8 bits. 74x175 Registros y latches multibit EL-3213 Circuitos Digitales I 74x175 Registros Contadores Registros de Desplazamiento 1 2 Registro de 8 bits (octal register) 74x374 Salida de 3 estados Otros registros de

Más detalles

ABEL Para Síntesis. Dr. Juan C. Herrera Lozada

ABEL Para Síntesis. Dr. Juan C. Herrera Lozada ABEL Para Síntesis Dr. Juan C. Herrera Lozada jlozada@ipn.mx Caso de Estudio 1: Diseño Combinacional ABEL permite la descripción de circuitos mediante tablas de verdad, ecuaciones y diagramas de estado.

Más detalles

APLICACIÓN DE ANALIZADORES LÓGICOS EN EXPERIENCIAS DE LABORATORIO. TUTORIAL PARA EL USO DEL ANALIZADOR LÓGICO

APLICACIÓN DE ANALIZADORES LÓGICOS EN EXPERIENCIAS DE LABORATORIO. TUTORIAL PARA EL USO DEL ANALIZADOR LÓGICO APLICACIÓN DE ANALIZADORES LÓGICOS EN EXPERIENCIAS DE LABORATORIO. TUTORIAL PARA EL USO DEL ANALIZADOR LÓGICO Resumen: El presente documento tiene como objetivo explicar qué es un analizador lógico, en

Más detalles

9-Sistemas Secuenciales

9-Sistemas Secuenciales 9-Sistemas Secuenciales 9. Máquinas de Estados Finitos 9.2 Mealy y Moore 9.3 Implementación en Verilog 9: Secuenciales Abstracción Dividir circuito en lógica combinacional y estado (state) Localizar los

Más detalles

+ Máquinas de Estado Finitas

+ Máquinas de Estado Finitas + Máquinas de Estado Finitas Las máquinas de estado pueden ser: SÍNCRONAS: Necesitan de la intervención de un pulso de reloj. Si la entrada participa también en la salida se denomina Máquina de estado

Más detalles

ELO211: Sistemas Digitales. Tomás Arredondo Vidal 1er Semestre 2009

ELO211: Sistemas Digitales. Tomás Arredondo Vidal 1er Semestre 2009 ELO211: Sistemas Digitales Tomás Arredondo Vidal 1er Semestre 29 Este material está basado en: textos y material de apoyo: Contemporary Logic Design 1 st / 2 nd edition. Gaetano Borriello and Randy Katz.

Más detalles

7.4. Análisis y síntesis de autómatas utilizando registros paralelos Análisis de máquinas de estado con flip-flops D [ Wakerly pág.

7.4. Análisis y síntesis de autómatas utilizando registros paralelos Análisis de máquinas de estado con flip-flops D [ Wakerly pág. 7.4. Análisis y síntesis de autómatas utilizando registros paralelos 7.4.. Análisis de máquinas de estado con flip-flops D [ Wakerly 7.3.4 pág. 553] 7.4...Obtención de las funciones de transición y salida

Más detalles

T7-SISTEMAS SECUENCIALES

T7-SISTEMAS SECUENCIALES Circuitos ecuenciales 1 T7-ITEMA ECUENCIALE Los circuitos lógicos se clasifican en dos tipos: Combinacionales, aquellos cuyas salidas sólo dependen de las entradas actuales. ecuenciales, aquellos cuyas

Más detalles

PARTE I. TÉCNICAS DE DISEÑO ELECTRÓNICO MEDIANTE LENGUAJES DE DESCRIPCIÓN HARDWARE

PARTE I. TÉCNICAS DE DISEÑO ELECTRÓNICO MEDIANTE LENGUAJES DE DESCRIPCIÓN HARDWARE PARTE I. TÉCNICAS DE DISEÑO ELECTRÓNICO MEDIANTE LENGUAJES DE DESCRIPCIÓN HARDWARE TEMA 3. Modelos de sistemas con VHDL Curso 05/06 1 TEMA 3. Modelos de sistemas digitales 3.1 Sistemas combinacionales

Más detalles

Sistemas Combinacionales y Sistemas Secuenciales

Sistemas Combinacionales y Sistemas Secuenciales y Prof. Rodrigo Araya E. raraya@inf.utfsm.cl Universidad Técnica Federico Santa María Departamento de Informática Valparaíso, 1 er Semestre 2006 y 1 2 3 y Contenido Al hablar de sistemas, nos referimos

Más detalles

Práctica 4. Sistemas Digitales. Objetivos particulares

Práctica 4. Sistemas Digitales. Objetivos particulares Práctica 4 Sistemas Digitales Objetivos particulares Durante el desarrollo de esta práctica, el estudiante aplicará un método para obtener las diferentes representaciones de los sistemas digitales binarios,

Más detalles

Circuitos Secuenciales

Circuitos Secuenciales EL - 337 Página Agenda EL - 337 Página 2 Introducción El biestable de flip flops de flip flops tipo D de flip flops tipo T de flip flops tipo S-R de flip flops tipo J-K de circuitos Conclusiones Introducción

Más detalles

CÓMO FUNCIONA UN PLC Control Combinacional Programación del PLC

CÓMO FUNCIONA UN PLC Control Combinacional Programación del PLC CÓMO FUNCIONA UN PLC Control Combinacional Programación del PLC PROGRAMACIÓN DE PLC Procedimiento para programar y cargar: Determinar los requisitos del sistema al cual se aplica el PLC. Identificar los

Más detalles

11. ANALISIS DE MAQUINAS SECUENCIALES SINCRONICAS. Red Combinacional

11. ANALISIS DE MAQUINAS SECUENCIALES SINCRONICAS. Red Combinacional ELO2 Sistemas Digitales. NLISIS DE MQUINS SEUENILES SINRONIS Dada una red secuencial sincrónica se desea obtener su diagrama de estados. Y a partir de éste, inferir el funcionamiento de la máquina. En

Más detalles

Laboratorio 06. Diseño e implementación de un sistema digital para la captura de caracteres desde teclado

Laboratorio 06. Diseño e implementación de un sistema digital para la captura de caracteres desde teclado Laboratorio 06. Diseño e implementación de un sistema digital para la captura de caracteres desde teclado Objetivos Específicos: - Aplicar sentencias específicas del lenguaje ABEL para describir registros

Más detalles

Universidad Central de Venezuela Facultad de Ciencias Escuela de Computación Organización y Estructura del Computador II Semestre I-2014.

Universidad Central de Venezuela Facultad de Ciencias Escuela de Computación Organización y Estructura del Computador II Semestre I-2014. Universidad Central de Venezuela Facultad de Ciencias Escuela de Computación Organización y Estructura del Computador II Semestre I-2014 Práctica #3 1) Qué es un latch? Qué es un flip-flop? 2) Si se aplican

Más detalles

Circuitos Secuenciales: concepto de estado

Circuitos Secuenciales: concepto de estado Lógica Secuencial Circuitos Secuenciales: concepto de estado En los sistemas secuenciales la salida Z en un determinado instante de tiempo t i depende de X en ese mismo instante de tiempo t i y en todos

Más detalles

ELO211: Sistemas Digitales. Tomás Arredondo Vidal 1er Semestre 2008

ELO211: Sistemas Digitales. Tomás Arredondo Vidal 1er Semestre 2008 ELO211: Sistemas Digitales Tomás Arredondo Vidal 1er Semestre 2008 Este material está basado en: textos y material de apoyo: Contemporary Logic Design 1 st / 2 nd Borriello and Randy Katz. Prentice Hall,

Más detalles

Registros. Registro de Corrimiento Básico

Registros. Registro de Corrimiento Básico Registros. Son dispositivos digitales donde se obtiene almacenamiento temporal. Dado que la memoria y el desplazamiento de información son sus características básicas, los registros son circuitos secuenciales

Más detalles

Módulo 2 n. Figura 2.1. Simbología de un contador

Módulo 2 n. Figura 2.1. Simbología de un contador Contadores 2.1. Introducción Los contadores son aplicaciones clásicas de los flip-flop, es un dispositivo electrónico capaz de contar el número de pulsos que llegan a su entrada de reloj. En muchas ocasiones

Más detalles

Electrónica Digital. Fco. Javier Expósito, Manuel Arbelo, Pedro A. Hernández Dpto. de Física Fundamental y Experimental, Electrónica y Sistemas

Electrónica Digital. Fco. Javier Expósito, Manuel Arbelo, Pedro A. Hernández Dpto. de Física Fundamental y Experimental, Electrónica y Sistemas Electrónica Digital Fco. Javier Expósito, Manuel Arbelo, Pedro A. Hernández 2001 Dpto. de Física Fundamental y Experimental, Electrónica y Sistemas UNIVERSIDAD DE LA LAGUNA ii ÍNDICE Lección 0. Introducción...1

Más detalles

DISEÑO DE CIRCUITOS SECUENCIALES

DISEÑO DE CIRCUITOS SECUENCIALES DISEÑO DE CIRCUITOS SECUENCILES Circuitos Digitales EC1723 Diseño de circuitos secuenciales (1) partir del enunciado del problema, construir el diagrama de estados y/o la tabla de estados y salidas. Determinar

Más detalles

INDICE Control de dispositivos específicos Diseño asistido por computadora Simulación Cálculos científicos

INDICE Control de dispositivos específicos Diseño asistido por computadora Simulación Cálculos científicos INDICE Parte I. La computadora digital: organización, operaciones, periféricos, lenguajes y sistemas operativos 1 Capitulo 1. La computadora digital 1.1. Introducción 3 1.2. Aplicaciones de las computadoras

Más detalles

Práctica 5. Generadores de Señales de Reloj y Flip-flops

Práctica 5. Generadores de Señales de Reloj y Flip-flops 5.1 Objetivo Práctica 5 Generadores de Señales de Reloj y Flip-flops El alumno conocerá y comprobará el funcionamiento de dispositivos empleados en la lógica secuencial y dispositivos con memoria basados

Más detalles

Introducción Flip-Flops Ejercicios Resumen. Lógica Digital. Circuitos Secuenciales - Parte I. Francisco García Eijó

Introducción Flip-Flops Ejercicios Resumen. Lógica Digital. Circuitos Secuenciales - Parte I. Francisco García Eijó Lógica Digital Circuitos Secuenciales - Parte I Francisco García Eijó Organización del Computador I Departamento de Computación - FCEyN UBA 7 de Septiembre del 2010 Agenda 1 Repaso 2 Multimedia Logic 3

Más detalles

INDICE. XVII 0 Introducción 0.1. Historia de la computación

INDICE. XVII 0 Introducción 0.1. Historia de la computación INDICE Prefacio XVII 0 Introducción 0.1. Historia de la computación 1 0.1.1. Los inicios: computadoras mecánicas 0.1.2. Primeras computadoras electrónicas 0.1.3. Las primeras cuatro generaciones de computadoras

Más detalles

Lógica Secuencial. Circuitos Digitales, 2º de Ingeniero de Telecomunicación ETSIT ULPGC

Lógica Secuencial. Circuitos Digitales, 2º de Ingeniero de Telecomunicación ETSIT ULPGC Lógica Secuencial Circuitos Digitales, 2º de Ingeniero de Telecomunicación ETSIT ULPGC Componentes secuenciales Contienen elementos de memoria Los valores de sus salidas dependen de los valores en sus

Más detalles

Síntesis de circuitos secuenciales síncronos: Máquinas de estados finitos

Síntesis de circuitos secuenciales síncronos: Máquinas de estados finitos Universidad Rey Juan Carlos Síntesis de circuitos secuenciales síncronos: Máquinas de estados finitos Norberto Malpica Ingeniería de Tecnologías Industriales Sistemas Electrónicos Digitales 24-25 Máquinas

Más detalles

Sistemas Digitales. Diseño lógico con Verilog. Sinopsis. Prólogo.

Sistemas Digitales. Diseño lógico con Verilog. Sinopsis. Prólogo. 1 Sistemas Digitales. Diseño lógico con Verilog. Sinopsis. El texto está orientado a un primer curso de diseño lógico en programas de estudios de ingenieros eléctricos, electrónicos, telemáticos y de ciencias

Más detalles

LABORATORIO DE CIRCUITOS DIGITALES (2005-II) QUINTA CLASE DE VHDL

LABORATORIO DE CIRCUITOS DIGITALES (2005-II) QUINTA CLASE DE VHDL LABORATORIO DE CIRCUITOS DIGITALES (2005-II) QUINTA CLASE DE VHDL MÁQUINAS DE ESTADO FINITAS (FSMs) Máquinas de Moore Máquinas de Mealy MÁQUINAS DE ESTADOS FINITAS (FSMs) Tipos: Máquina de Moore: Las salidas

Más detalles

Tema 3 - Modelado con HDL a nivel RTL

Tema 3 - Modelado con HDL a nivel RTL - Modelado con HDL a nivel RTL Eduardo Rodríguez Martínez Departamento de Electrónica División de Ciencias Básicas e Ingeniería Universidad Autónoma Metropolitana Unidad Azcapotzalco Email: erm@correo.azc.uam.mx

Más detalles

Práctica I.- Introducción a los Sistemas Secuenciales

Práctica I.- Introducción a los Sistemas Secuenciales Práctica I.- Introducción a los Sistemas Secuenciales 1.1.- Objetivos. El objetivo de esta práctica consiste en consolidar las diferencias entre sistemas combinacionales y secuenciales 1.2.- Problema.

Más detalles

ALGORÍTMICA. Dpto. Ingeniería de Sistemas y Automática Facultad de Ciencias Universidad de Valladolid.

ALGORÍTMICA. Dpto. Ingeniería de Sistemas y Automática Facultad de Ciencias Universidad de Valladolid. ALGORÍTMICA Dpto. Ingeniería de Sistemas y Automática Facultad de Ciencias Universidad de Valladolid. Indíce Algoritmo Elementos de un algoritmo: Variables, Constantes, Expresiones Datos: Definición y

Más detalles

Relación de Problemas de Circuitos Secuenciales

Relación de Problemas de Circuitos Secuenciales Escuela Técnica de Ingenieros en Informática de Sistemas Sistemas Electrónicos Digitales Relación de Problemas de Circuitos Secuenciales 1.- Dado el circuito secuencial síncrono de la figura: a.- Trace

Más detalles

Electrónica Digital II. M. C. Felipe Santiago Espinosa

Electrónica Digital II. M. C. Felipe Santiago Espinosa Electrónica Digital II M. C. Felipe Santiago Espinosa Octubre de 2014 WinCUPL Software desarrollado por Atmel Corporation. CUPL: Compilador universal para lógica programable (genera archivos para programar

Más detalles

Introducción a los Sistemas Secuenciales. Problemas estructurales en un circuito secuencial asíncrono

Introducción a los Sistemas Secuenciales. Problemas estructurales en un circuito secuencial asíncrono Definiciones básicas Autómatas De Mealy De Moore Formas de descripción de un sistema secuencial Diagrama de estado Tabla de flujo Tabla de estado-salida Tabla de transición Proceso de análisis de sistemas

Más detalles

Planificaciones Sistemas Digitales. Docente responsable: ALVAREZ NICOLAS. 1 de 5

Planificaciones Sistemas Digitales. Docente responsable: ALVAREZ NICOLAS. 1 de 5 Planificaciones 8641 - Sistemas Digitales Docente responsable: ALVAREZ NICOLAS 1 de 5 OBJETIVOS El objetivo de la materia es aprender a diseñar arquitecturas digitales utilizando como herramienta los lenguajes

Más detalles

EIE SISTEMAS DIGITALES Tema 8: Circuitos Secuenciales (Síntesis) Nombre del curso: Sistemas Digitales Nombre del docente: Héctor Vargas

EIE SISTEMAS DIGITALES Tema 8: Circuitos Secuenciales (Síntesis) Nombre del curso: Sistemas Digitales Nombre del docente: Héctor Vargas EIE 446 - SISTEMAS DIGITALES Tema 8: Circuitos Secuenciales (Síntesis) Nombre del curso: Sistemas Digitales Nombre del docente: Héctor Vargas OBJETIVOS DE LA UNIDAD Entender el concepto de Máquina de estados

Más detalles

Julio. [ Programación en VHDL ] Guía rápida. [ h t t p : / / w w w. o p e n b o x e r m b. c o m / a s i g n a t u r a s / d s d.

Julio. [ Programación en VHDL ] Guía rápida. [ h t t p : / / w w w. o p e n b o x e r m b. c o m / a s i g n a t u r a s / d s d. Julio 09 [ Programación en VHDL ] Guía rápida [ h t t p : / / w w w. o p e n b o x e r. 2 6 0 m b. c o m / a s i g n a t u r a s / d s d. h t m l ] Programación en VHDL Guia rapida [ INDICE ] I. Programación

Más detalles

Elementos de un programa en C

Elementos de un programa en C Elementos de un programa en C Un programa en C consta de uno o más archivos. Un archivo es traducido en diferentes fases. La primera fase es el preprocesado, que realiza la inclusión de archivos y la sustitución

Más detalles

Electrónica. Tema 6 Circuitos Secuenciales. Tema 1 Fundamentos de semiconductores

Electrónica. Tema 6 Circuitos Secuenciales. Tema 1 Fundamentos de semiconductores Electrónica Tema 6 Circuitos Secuenciales Tema 1 Fundamentos de semiconductores 1 Lógica secuencial Un circuito secuencial es aquel cuyas salidas dependen no sólo de las entradas actuales, sino también

Más detalles

sistemas secuenciales

sistemas secuenciales 16. Análisis y diseño o de sistemas secuenciales Oliverio J. Santana Jaria Sistemas Digitales Ingeniería Técnica en Informática de Sistemas Curso 2006 2007 Introducción No resulta fácil deducir el funcionamiento

Más detalles

CIRCUITO 1: CIRCUITO RC

CIRCUITO 1: CIRCUITO RC CIRCUITOS DIDACTICOS DE LA MATERIA DE DISPOSITIVOS Y CIRCUTOS ELECTRONICOS Y DE DISEÑO DE SISTEMAS DIGITALES. JUSTIFICACION. Los siguientes circuitos son considerados ejemplos didácticos y representativos

Más detalles

Ejemplo básico de descripción VHDL

Ejemplo básico de descripción VHDL Ejemplo básico de descripción VHDL Describir en VHDL un circuito que multiplexe dos líneas (a y b) de un bit, a una sola línea (salida) también de un bit; la señal selec sirve para indicar que a la salida

Más detalles

Registros de desplazamiento

Registros de desplazamiento Registros de desplazamiento Definición de registro de desplazamiento básico Tipos de registro de desplazamiento Configuraciones específicas Aplicaciones más típicas VHDL Ejercicio propuestos Definición

Más detalles

Electrónica Digital. Actividad Dirigida. Implementación de un Cronómetro Digital

Electrónica Digital. Actividad Dirigida. Implementación de un Cronómetro Digital Electrónica Digital Actividad Dirigida Implementación de un Cronómetro Digital Trabajo a realizar La actividad consiste en la implementación de un cronómetro digital con capacidad de cuenta de minutos

Más detalles

module MUX_4_1 (Z, S1, S0, A3, A2, A1, A0); input S1, S0, A3, A2, A1, A0; output Z; wire W3, W2, W1, W0, NS1, NS0;

module MUX_4_1 (Z, S1, S0, A3, A2, A1, A0); input S1, S0, A3, A2, A1, A0; output Z; wire W3, W2, W1, W0, NS1, NS0; 1 - INTRODUCCIÓN La creación de circuitos integrados utilizando herramientas CAD (Computer Aided Design) conlleva una serie secuencial de pasos, comenzando con el diseño de entrada y finalizando con la

Más detalles

Manual de turbo pascal

Manual de turbo pascal Universidad Nacional Experimental De Los Llanos Occidentales Ezequiel Zamora UNELLEZ-Barinas Manual de turbo pascal Bachilleres: Martinez Ninibeth C.I:20.867.002 Mora Yaco C.I:17.205.073 Estructura de

Más detalles

INTRODUCCIÓN A HDL VERILOG

INTRODUCCIÓN A HDL VERILOG INTRODUCCIÓN A HDL VERILOG Departamento de Tecnología Electrónica Universidad de Sevilla Paulino Ruiz de Clavijo Vázquez Rev.7 (nov 2012) Índice Introducción a HDL Verilog Bloque I:

Más detalles

INTRODUCCIÓN AL SOFTWARE ISE (Integrated Software Environment) DE XILINX

INTRODUCCIÓN AL SOFTWARE ISE (Integrated Software Environment) DE XILINX INTRODUCCIÓN AL SOFTWARE ISE (Integrated Software Environment) DE XILINX Índice GUÍA DE INICIO AL SOFTWARE ISE DE XILINX... 1 1. Introducción... 1 2. Inicio del software ISE (Integrated Software Environment)

Más detalles

PUERTAS LOGICAS. Una tensión alta significa un 1 binario y una tensión baja significa un 0 binario.

PUERTAS LOGICAS. Una tensión alta significa un 1 binario y una tensión baja significa un 0 binario. PUERTAS LOGICAS Son bloques de construcción básica de los sistemas digitales; operan con números binarios, por lo que se denominan puertas lógicas binarias. En los circuitos digitales todos los voltajes,

Más detalles

CIRCUITOS SECUENCIALES

CIRCUITOS SECUENCIALES LABORATORIO # 7 Realización: 16-06-2011 CIRCUITOS SECUENCIALES 1. OBJETIVOS Diseñar e implementar circuitos utilizando circuitos multivibradores. Comprender los circuitos el funcionamiento de los circuitos

Más detalles

TEMA I: INTRODUCCIÓN A LOS CIRCUITOS SECUENCIALES

TEMA I: INTRODUCCIÓN A LOS CIRCUITOS SECUENCIALES TEM I: INTROUIÓN LOS IRUITOS SEUENILES Hasta ahora, únicamente hemos visto circuitos combinacionales, es decir, circuitos en los que las salidas dependen única y exclusivamente de las combinaciones de

Más detalles

Tema 5: Álgebra de Boole Funciones LógicasL

Tema 5: Álgebra de Boole Funciones LógicasL Tema 5: Álgebra de Boole Funciones LógicasL Ingeniería Informática Universidad Autónoma de Madrid 1 Álgebra de Boole.. Funciones LógicasL O B J E T I V O S Conocer el Álgebra de Boole, sus teoremas y las

Más detalles

GUIAS ÚNICAS DE LABORATORIO ECUACIONES DE ESTADO AUTOR: ALBERTO CUERVO SANTIAGO DE CALI UNIVERSIDAD SANTIAGO DE CALI DEPARTAMENTO DE LABORATORIOS

GUIAS ÚNICAS DE LABORATORIO ECUACIONES DE ESTADO AUTOR: ALBERTO CUERVO SANTIAGO DE CALI UNIVERSIDAD SANTIAGO DE CALI DEPARTAMENTO DE LABORATORIOS GUIAS ÚNICAS DE LABORATORIO ECUACIONES DE ESTADO AUTOR: ALBERTO CUERVO SANTIAGO DE CALI UNIVERSIDAD SANTIAGO DE CALI DEPARTAMENTO DE LABORATORIOS ECUACIONES DE ESTADO Introducción Un circuito secuencial

Más detalles

Tema 7. SISTEMAS SECUENCIALES SISTEMAS SECUENCIALES SÍNCRONOS

Tema 7. SISTEMAS SECUENCIALES SISTEMAS SECUENCIALES SÍNCRONOS Fundamentos de Computadores. Sistemas Secuenciales. T7-1 INDICE: Tema 7. SISTEMAS SECUENCIALES INTRODUCCIÓN SISTEMAS SECUENCIALES SÍNCRONOS TIPOS DE BIESTABLES o TABLAS DE ECITACIÓN DE LOS BIESTABLES o

Más detalles

MAQUINA DE ESTADO FINITO (FSM) Autómata finito

MAQUINA DE ESTADO FINITO (FSM) Autómata finito MAQUINA DE ESTADO FINITO (FSM) Autómata finito Modelo de Mealy E Lógica del próximo estado Q t+1 M E M O R I A Q t Lógica de salida S Ck Q t+1 = f (E, Q t ) S = g (E, Q t ) Modelo de Moore E Lógica del

Más detalles

Laboratorio 4: Uso de una FPGA

Laboratorio 4: Uso de una FPGA Laboratorio 4: Uso de una FPGA Objetivos: Conocer y comprender la estructura interna de una FPGA y su tarjeta de desarrollo que será usada en el laboratorio, y los cuidados y recomendaciones para evitar

Más detalles

El lenguaje C. 1. Identificadores, constantes y variables

El lenguaje C. 1. Identificadores, constantes y variables Principios de Programación El lenguaje C 1. Identificadores, constantes y variables 1.1. Conceptos de memoria Los nombres de variable como x, y, suma corresponden a localizaciones o posiciones en la memoria

Más detalles

Electrónica Digital Área de Ingeniería Mecatrónica Carrera/programa de Ingeniería Electrónica e Ingeniería Electromecánica

Electrónica Digital Área de Ingeniería Mecatrónica Carrera/programa de Ingeniería Electrónica e Ingeniería Electromecánica Programa del curso MT-4001 Electrónica Digital Área de Ingeniería Mecatrónica Carrera/programa de Ingeniería Electrónica e Ingeniería Electromecánica I parte: Aspectos relativos al plan de estudios 1 Datos

Más detalles

Todo programa en 'C' consta de una o más funciones, una de las cuales se llama main.

Todo programa en 'C' consta de una o más funciones, una de las cuales se llama main. LENGUAJE C CARACTERISTICAS DEL LENGUAJE 'C' El lenguaje 'C' se conoce como un lenguaje compilado. Existen dos tipos de lenguaje: interpretados y compilados. Los interpretados son aquellos que necesitan

Más detalles

Sistema electrónico digital (binario) que procesa datos siguiendo unas instrucciones almacenadas en su memoria

Sistema electrónico digital (binario) que procesa datos siguiendo unas instrucciones almacenadas en su memoria 1.2. Jerarquía de niveles de un computador Qué es un computador? Sistema electrónico digital (binario) que procesa datos siguiendo unas instrucciones almacenadas en su memoria Es un sistema tan complejo

Más detalles

TEMA 5.3 SISTEMAS DIGITALES

TEMA 5.3 SISTEMAS DIGITALES TEMA 5.3 SISTEMAS DIGITALES TEMA 5 SISTEMAS DIGITALES FUNDAMENTOS DE ELECTRÓNICA 08 de enero de 2015 TEMA 5.3 SISTEMAS DIGITALES Introducción Sistemas combinacionales Sistemas secuenciales TEMA 5.3 SISTEMAS

Más detalles

Tecnología BiCo en el control de una cinta transportadora. SeM002 Versión 1. Página 1 de 5

Tecnología BiCo en el control de una cinta transportadora. SeM002 Versión 1. Página 1 de 5 Tecnología BiCo en el control de una cinta transportadora SeM002 Versión 1 Página 1 de 5 Tecnología BiCo en el control de una cinta transportadora En ocasiones, las señales de comando (marcha, parada,

Más detalles

5.3. Álgebras de Boole y de conmutación. Funciones lógicas

5.3. Álgebras de Boole y de conmutación. Funciones lógicas 5.3. Álgebras de Boole y de conmutación. Funciones lógicas 5.3.1. Algebra de conmutación o algebra booleana 5.3.1.1. Axiomas [ Wakerly 4.1.1 pág. 195] 5.3.1.2. Teoremas de una sola variable [ Wakerly 4.1.2

Más detalles

//Sección de manejo de excepciones. Las rutinas de manejo de errores //aparecen aqui

//Sección de manejo de excepciones. Las rutinas de manejo de errores //aparecen aqui PL/SQL - Oracle PL/SQL (Procedural Language/SQL) es una extensión de SQL, que agrega ciertas construcciones propias de lenguajes procedimentales, obteniendose como resultado un lenguaje estructural mas

Más detalles

TUTORIAL PSEINT. Ing. Ronald Rentería Ayquipa. Fundamentos de Programación

TUTORIAL PSEINT. Ing. Ronald Rentería Ayquipa. Fundamentos de Programación TUTORIAL PSEINT Ing. Ronald Rentería Ayquipa Fundamentos de Programación PSEINT Página Oficial: http://pseint.sourceforge.net/ Es un software que interpreta pseudocódigo. Permite la generación de diagramas

Más detalles

Análisis y Síntesis. Introducción a los Sistemas Lógicos y Digitales 2008

Análisis y Síntesis. Introducción a los Sistemas Lógicos y Digitales 2008 Introducción a los Sistemas Lógicos y Digitales 2008 Métodos de análisis: Tabla de verdad. Heurístico. Diagramas de estado. Simulación. Test del hardware. etc... Ejemplo de análisis heurístico (planteo

Más detalles

41(T0)T.':#0&'4'4#T. Diseñar en VHDL un decodificador BCD a 7 segmentos que cumpla con las siguientes condiciones:

41(T0)T.':#0&'4'4#T. Diseñar en VHDL un decodificador BCD a 7 segmentos que cumpla con las siguientes condiciones: Diseñar en VHDL un decodificador BCD a 7 segmentos que cumpla con las siguientes condiciones: D Salidas activas en bajo para manejar display ánodo común E Entradas (4 bits) activas en bajo (o con lógica

Más detalles

SISTEMAS DIGITALES MÉTODOS DE DISEÑO LDD 2007-08 1. eman ta zabal zazu

SISTEMAS DIGITALES MÉTODOS DE DISEÑO LDD 2007-08 1. eman ta zabal zazu SISTEMAS DIGITALES MÉTODOS DE DISEÑO LDD 2007-08 1 SISTEMAS DIGITALES MÉTODOS DE DISEÑO Revisión de la metodología de diseño de sistemas digitales síncronos. Métodos de implementación de unidades de control:

Más detalles

Aquí vemos como una PLD no programada (donde todos sus fusibles están intactos), así para la salida O1 tenemos:

Aquí vemos como una PLD no programada (donde todos sus fusibles están intactos), así para la salida O1 tenemos: PLD PLD son las siglas de Programmable Logic Design o dispositivos de lógica programable. Estos circuitos integrados permiten generar dentro del mismo funciones que no disponemos en los C.I. comerciales

Más detalles

Dispositivos Digitales. EL-611 Complemento de Diseño Lógico y. Dispositivos Digitales

Dispositivos Digitales. EL-611 Complemento de Diseño Lógico y. Dispositivos Digitales EL-611 Complemento de Diseño Lógico y Objetivos y Evaluación Segundo Curso de Sistemas Digitales Complementar Materia Enfoque Diseños de Mayor Envergadura 1 Control + Examen y 6 Ejercicios (aprox.) Tareas

Más detalles

INSTITUTO POLITÉCNICO NACIONAL ESCUELA SUPERIOR DE INGENIERIA MECANICA Y ELECTRICA INGENIERIA EN COMUNICACIONES Y ELECTRÓNICA ACADEMIA DE COMPUTACIÓN

INSTITUTO POLITÉCNICO NACIONAL ESCUELA SUPERIOR DE INGENIERIA MECANICA Y ELECTRICA INGENIERIA EN COMUNICACIONES Y ELECTRÓNICA ACADEMIA DE COMPUTACIÓN INSTITUTO POLITÉCNICO NACIONAL ESCUELA SUPERIOR DE INGENIERIA MECANICA Y ELECTRICA UNIDAD CULHUACAN INGENIERIA EN COMUNICACIONES Y ELECTRÓNICA ACADEMIA DE COMPUTACIÓN LABORATORIO DE CIRCUITOS DIGITALES

Más detalles

Electrónica Digital Departamento de Electrónica VHDL. Bioingeniería Facultad de Ingeniería - UNER

Electrónica Digital Departamento de Electrónica VHDL. Bioingeniería Facultad de Ingeniería - UNER Electrónica Digital Departamento de Electrónica VHDL Bioingeniería Facultad de Ingeniería - UNER VHDL VHSIC Hardware Design Language VHSIC Very High Speed Integrated Circuits Verilog Handel C Celóxica

Más detalles

2).Diseñar los circuitos cuyas tablas de estados son las siguientes:

2).Diseñar los circuitos cuyas tablas de estados son las siguientes: EJERCICIOS Tema 7 Ejercicios Síncronos 1) Deduce las tablas de estado que se correponden con los siguientes diagramas de estado. 2).Diseñar los circuitos cuyas tablas de estados son las siguientes: 0 1

Más detalles

Lab 6. Cuádruple registro de 16 bits y 3 puertos E/S

Lab 6. Cuádruple registro de 16 bits y 3 puertos E/S Lab 6 Cuádruple registro de 16 bits y 3 puertos E/S Objetivo: Codificar en VHDL y verificar el funcionamiento de un registro de cuatro palabras y de las operaciones de lectura y escritura sobre el mismo.

Más detalles

IEE 2712 Sistemas Digitales

IEE 2712 Sistemas Digitales IEE 2712 Sistemas Digitales Clase 6 Objetivos educacionales: 1. Saber aplicar el método de mapas de Karnaugh para 5 o más variables y para situaciones no-importa. 2. Conocer la implementación práctica

Más detalles

Operación de circuitos lógicos combinatorios.

Operación de circuitos lógicos combinatorios. Operación de circuitos lógicos combinatorios. 1.1 Analiza circuitos lógicos combinatorios, empleando sistemas y códigos numéricos. A. Identificación de las características de la electrónica digital. Orígenes

Más detalles

Práctica 7. Control de una Máquina Expendedora Mediante Máquinas de Estado Finito (FSM)

Práctica 7. Control de una Máquina Expendedora Mediante Máquinas de Estado Finito (FSM) Práctica 7 7.1 Objetivo Control de una Máquina Expendedora Mediante Máquinas de Finito (FSM) El alumno aprenderá la importancia del diseño de una máquina de estados finitos (FSM) para controlar un proceso

Más detalles

Contadores. Apunte N 7

Contadores. Apunte N 7 Contadores Apunte N 7 C o n tadores Los contadores son sistemas digitales secuenciales que tienen el propósito de contar sucesos electrónicos, como los impulsos, avanzando a través de una secuencia de

Más detalles

DESCRIPCIÓN DE CIRCUITOS DIGITALES

DESCRIPCIÓN DE CIRCUITOS DIGITALES DESCRIPCIÓN DE CIRCUITOS DIGITALES Circuitos combinacionales Circuitos secuenciales Organización del diseño. Diseño genérico Operaciones iterativas Autores: Luis Entrena, Celia López, Mario García, Enrique

Más detalles

Máquinas de estados finitas 1

Máquinas de estados finitas 1 Máquinas de estados finitas 1 MÁQUINAS DE ESTADOS FINITAS INTRODUCCIÓN Máquinas de estados finitas 2 Evento discreto: ocurrencia de una característica en la evolución de una señal (flanco de subida, paso

Más detalles

INDICE Prefacio 1 Sistemas numéricos y códigos 2 Circuitos digitales

INDICE Prefacio 1 Sistemas numéricos y códigos 2 Circuitos digitales INDICE Prefacio xix 1 Sistemas numéricos y códigos 1.1 Sistemas numéricos posicionales 2 1.2 Número octales y hexadecimales 3 1.3 Conversiones entre sistemas numéricos posicionales 5 1.4 Suma y resta de

Más detalles

CIRCUITOS MULTIPLEXORES Y DEMULTIPLEXORES

CIRCUITOS MULTIPLEXORES Y DEMULTIPLEXORES Oscar Ignacio Botero Henao. CIRCUITOS MULTIPLEXORES Y DEMULTIPLEXORES MULTIPLEXOR (MUX) Un Multiplexor (MUX) es un circuito combinacional al que entran varios canales de datos, y sólo salen los datos del

Más detalles

Tema 7 - Registros, contadores y memorias

Tema 7 - Registros, contadores y memorias -, contadores y memorias Eduardo Rodríguez Martínez Departamento de Electrónica División de Ciencias Básicas e Ingeniería Universidad Autónoma Metropolitana Unidad Azcapotzalco Email: erm@correo.azc.uam.mx

Más detalles

Objetivos. Instituto Tecnológico de Costa Rica Escuela de Ingeniería Electrónica I SEMESTRE 2007. Contenido del Curso EL FLUJO DE DISEÑO O DIGITAL

Objetivos. Instituto Tecnológico de Costa Rica Escuela de Ingeniería Electrónica I SEMESTRE 2007. Contenido del Curso EL FLUJO DE DISEÑO O DIGITAL Objetivos OBJETIVO GENERAL Laboratorio de Diseño o de Sistemas Digitales EL-3312 Diseñar, simular, sintetizar e implementar sistemas digitales usando lenguajes de alto nivel para la descripción de hardware

Más detalles

Tema 7 Registros y Contadores

Tema 7 Registros y Contadores Tema 7 s y Contadores Luis Entrena, Celia López, Mario García, Enrique San Millán Universidad Carlos III de Madrid Contenidos. s Ø Ø Ø s con entrada serie y salida serie y paralelo s con entrada paralelo

Más detalles

LEX. Las definiciones y subrutinas son opcionales. El segundo %% es opcional pero el primer %% indica el comienzo de las reglas.

LEX. Las definiciones y subrutinas son opcionales. El segundo %% es opcional pero el primer %% indica el comienzo de las reglas. LEX Estructura de un programa en LEX { definiciones { reglas { subrutinas del usuario Las definiciones y subrutinas son opcionales. El segundo es opcional pero el primer indica el comienzo de las reglas.

Más detalles

Tema 7. Análisis de Circuitos Secuenciales

Tema 7. Análisis de Circuitos Secuenciales Tema 7. Análisis de Circuitos Secuenciales Máquinas de estado finito (FSM). Análisis de circuitos secuenciales síncronos. Introducción al diseño secuenciales síncronos. de circuitos Contadores. Registros

Más detalles

CONTADORES CARACTERISTICAS IMPORTANTES UTILIDAD CONTADORES DE RIZADO. CONTADOR DE RIZADO MODULO- 16.

CONTADORES CARACTERISTICAS IMPORTANTES UTILIDAD CONTADORES DE RIZADO. CONTADOR DE RIZADO MODULO- 16. CONTADORES Son circuitos digitales lógicos secuenciales de salida binaria o cuenta binaria, caracteristica de temporizacion y de memoria, por lo cual están constituidos a base de flip-flops. CARACTERISTICAS

Más detalles

UNIVERSIDAD DEL CARIBE UNICARIBE. Escuela de Informática. Programa de Asignatura

UNIVERSIDAD DEL CARIBE UNICARIBE. Escuela de Informática. Programa de Asignatura UNIVERSIDAD DEL CARIBE UNICARIBE Escuela de Informática Programa de Asignatura Nombre de la asignatura : Lógica Digital Carga académica : 4 créditos Modalidad : Semi-presencial Clave : INF-314 Pre-requisito

Más detalles

4. Síntesis de un circuito secuencial síncrono

4. Síntesis de un circuito secuencial síncrono 4 Síntesis de un circuito secuencial síncrono El estudio del procedimiento de diseño de circuitos secuenciales síncronos se realiza mediante la aplicación a un sencillo ejemplo Los puntos a desarrollar

Más detalles

INDICE Capitulo 1. Álgebra de variables lógicas Capitulo 2. Funciones lógicas

INDICE Capitulo 1. Álgebra de variables lógicas Capitulo 2. Funciones lógicas INDICE Prefacio XV Capitulo 1. Álgebra de variables lógicas 1 1.1. Variables y funciones 1 1.2. Variables lógicas 2 1.3. Valores de una variable lógica 2 1.4. Funciones de una variable lógica 3 1.5. Funciones

Más detalles

GUÍA PRÁCTICA DEL SOFTWARE ACTIVE-HDL.

GUÍA PRÁCTICA DEL SOFTWARE ACTIVE-HDL. 24/9/2013 ÁREA DE TECNOLOGÍA ELECTRÓNICA UBU GUÍA PRÁCTICA DEL SOFTWARE ACTIVE-HDL. Manual de Inicio Miguel Ángel Lozano Pérez Contenido 1.1 GUÍA DE SOFTWARE... 3 1.1.1 Primer paso: crear un diseño nuevo...

Más detalles

Estatutos de Control C# Estatutos de Decisión (Selección)

Estatutos de Control C# Estatutos de Decisión (Selección) SELECCIÓN Estatutos de Control C# Estatutos de Decisión (Selección) IF Condición THEN Estatuto1 ELSE Estatuto2 Estatuto1 Statement Condición... Antes de ver esta presentación: Lee el Capítulo correspondiente

Más detalles

Ejercicios. Arquitectura de Computadoras. José Garzía

Ejercicios. Arquitectura de Computadoras. José Garzía jercicios de rquitectura de Computadoras José Garzía n la figura se representa el diagrama de flujo de un algoritmo. B X Y +B í + 7=? No B B+ C +B Los registros, B y C tienen una longitud de 8 bits. 7

Más detalles

Estructuras de Datos Declaraciones Tipos de Datos

Estructuras de Datos Declaraciones Tipos de Datos Departamento de Informática Universidad Técnica Federico Santa María Estructuras de Datos Declaraciones Tipos de Datos Temas: 2-3-4 IWI-131, paralelo 01 Profesor: Teddy Alfaro O. Lenguaje de Programación

Más detalles

2-Funciones y representaciones booleanas

2-Funciones y representaciones booleanas 2-Funciones y representaciones booleanas 2.1 Lógica y álgebra de Boole 2.2 Funciones booleanas 2.3 Representaciones de funciones booleanas. 2.4 Funciones de varias variables. 2: Funciones booleanas 1 Lógica

Más detalles

UNIVERSIDAD NACIONAL FEDERICO VILLARREAL FACULTAD DE INGENIERÍA ELECTRÓNICA E INFORMÁTICA

UNIVERSIDAD NACIONAL FEDERICO VILLARREAL FACULTAD DE INGENIERÍA ELECTRÓNICA E INFORMÁTICA UNIVERSIDAD NACIONAL FEDERICO VILLARREAL FACULTAD DE INGENIERÍA ELECTRÓNICA E INFORMÁTICA Escuela Académico Profesional de Ingeniería de Telecomunicaciones SILABO ASIGNATURA : SISTEMAS DIGITALES I CÓDIGO:8F0056

Más detalles