CONVERTIDOR ANÁLOGICO-DIGITAL (ADC)

Tamaño: px
Comenzar la demostración a partir de la página:

Download "CONVERTIDOR ANÁLOGICO-DIGITAL (ADC)"

Transcripción

1 Aplicar los conocimientos básicos de electrónica de manera teórica (lenguaje ANSI-C) y práctica (montaje de circuitos). Conocer las funciones que gobiernan las entradas y salidas del microcontrolador PIC18F47J53. CONVERTIDOR ANÁLOGICO-DIGITAL (ADC) El mundo nos regala millones de señales análogas, siendo una desventaja en un mundo tan digital. La solución a ello es la creación de los ADC, estos dispositivos encargados de convertir señales análogas a señales digitales. Pasos para la conversión digital 1. Muestreo: Para obtener la frecuencia de muestreo en necesario tomar diversos valores discretos de la señal sinodal como se muestra en la imagen 1. Cabe resaltar que entre más muestras de tensión se tomen mejor será la calidad y fidelidad de la señal digital. Es decir, si se tiene una frecuencia de muestreo de 48 khz se tiene muestras por segundo. Como ejemplo tomaremos una señal análoga y la pasamos por un ADC de 3 bits con un rango de entrada de 0 a 7 Voltios. Para hallar el número máximo de combinaciones en la salida digital, se aplica: 2 n, donde n es el número de bits. Imagen 1. Muestreo a una señal sinodal.

2 2. Cuantización: Luego de tener las muestras de la señal sinodal, a estas se le asignan valores decimales (valor decimal= valor tensión), es decir en la imagen 2 para la primera muestra el valor de tensión son 2 Volt. La resolución será = ViFS / [2 n -1] = 7 / [8-1] = 7/7 = 1 voltio / variación en el bit menos significativo. ViFS es el voltaje que hay que poner a la entrada del convertidor ADC, para obtener una conversión máxima (todas las salidas serán iguales a 1 ). Imagen 2. Asignación de valores decimales(volt). 3. Codificación Siguiente a tener la cuantificación, se realiza la codificación de la señal análoga estipulando valores binarios a cada valor decimal, por lo tanto, en la imagen 3 se establece el valor binario 010 al valor de decimal 2 Volt. Imagen 3. Codificación de la señal análoga.

3 REGISTRO ADCON0 El conjunto de bits que se encargan del manejo de un ADC es el registro descrito en la imagen 4. Se debe tener en cuenta que en cada bit solo es admitido un 0 o 1. Imagen 4. Registro ADCON0, del conversor A/D VCFG1: Bit de configuración de referencia de voltaje. VCFG0: Bit de Referencia para la configuración de voltaje. CHS3-CHS0 (bits de selección de canal analógico): selecciona un pin o un canal analógico para la conversión A/D. 0000= Channel 00 (AN0) 0001= Channel 01 (AN1) 0010= Channel 02 (AN2) 0011= Channel 03 (AN3) 0100= Channel 04 (AN4) 0101= Channel 05 (AN5) 0110= Channel 06 (AN6) 0111= Channel 07 (AN7) 1000= Channel 08 (AN8) 1001= Channel 09 (AN9) 1010= Channel 10 (AN10) 1011= Channel 11 (AN11) 1100= Channel 12 (AN12) 1101= (Reserved) 1110= VDDCORE 1111= VBG Absolute Reference (~1.2V) GO/DONE (bit de estado de la conversión A/D): determina el estado actual de de la conversión. ADON (bit de encendido A/D): habilita el convertidor A/D. ADC - PIC18F47J53 El PIC18F47J53 de 44 pines tiene la ventaja de tener 13 entradas analógicas, permitiendo la conversión análogo-digital de 10 o 12 bits descritos en la imagen 5. Así mismo, en la imagen 6 se describe la ubicación de estos canales en el módulo Emm47J53.

4 Imagen 5. Diagrama de bloques del A/D del Pic18F47J53. Imagen 4. Descripción de la ubicación de los canales ANxx, en el módulo EMm47J53.

5 FUNCIONES PARA CONFIGURAR EL ADC PRÁCTICA 5 - ADC Se debe tener en cuenta las líneas de código que se utilizan para configurar el modo de empleo como: - Configuración de los bits del ADC: #device ADC=12 //conversor de 12 bits - Configuración de los puertos de entrada del conversor: setup_adc_ports( san0 san1 san2,vss_vdd); // Indica los puertos como entrada analogica setup_adc_ports( ALL_ANALOGS); // Indica que todos los puertos como entradas análogas - Configurar la señal de reloj: setup_adc( ADC_CLOCK_INTERNAL ); //uso del reloj interno para la conversion A/D - Configurar el canal de lectura: set_adc_channel(0); // Habilitación del canal AN0 - Convertir una señal análoga de un LM35 para realizar su muestreo en dos displays 7 segmentos. Protoboard - Resistencias 220Ω Cables - Sensor LM35 Displays 7 segmentos Para la secuencia de este proyecto se describe en la imagen 7.

6 Imagen 5. DFD, conversor A/D /*--- Espacio para declaración de variables globales --*/ unsigned int16 i=0,n=0,dato_analogo; unsigned char bcd_cent=0, bcd_dec=0, bcd_unid=0, bcd_mil=0; char const mens1[7]="adc="; char unidad=0, decena=0, centena=0, umil=0; char display[16]= { 0b ,//0 0b ,//1 0b ,//2 0b ,//3 0b ,//4 0b ,//5 0b ,//6 0b ,//7 0b ,//8 0b ,//9 0b ,//A 0b ,//B 0b ,//C 0b ,//D 0b ,//E 0b ,//F };

7 /* Espacio para funciones */ #include <stdlib.h> // for atoi32 // Este procedimiento convierte los datos de binario a bcd void conver_bcd (unsigned int16 x){ bcd_cent=0,bcd_dec=0,bcd_unid=0,bcd_mil=0; while(x>=1000){ x= x-1000; ++bcd_mil; } while(x>=100){ x= x-100; ++bcd_cent; } while(x>=10){ ++bcd_dec; } bcd_unid=x; } /* Espacio de código principal */ #zero_ram void main(){ PLLEN = 1; //Habilita PLL para generar 48MHz de oscilador setup_adc( ADC_CLOCK_INTERNAL ); //uso del reloj interno para la conversion A/D setup_adc_ports( san0 san1 san2,vss_vdd); // Indica los puertos como entrada analogica set_adc_channel(0); // Habilitación del canal AN0 for(;;){ dato_analogo= read_adc // Lee el puerto ADC conver_bcd (dato_analogo); // Convierte el dato en código BCD para que pueda ser mostrado en los displays output_d(display[bcd_unid]); //Imprime el dato en la salida bcd_unid output_high(d_unidad); //manda un 1 al pinb4 delay_ms(2); // Retardo de muestreo output_low(d_unidad); //manda un 0 al pinb4 output_d(display[bcd_dec]); // Imprime el dato en la salida bcd_dec output_high(d_decena); //manda un 1 al pinb5 delay_ms(2); // Retardo de muestreo output_low(d_ decena); //manda un 0 al pinb5 output_d(display[bcd_cent]); // Imprime el dato en la salida bcd_cent output_high(d_centena); //manda un 1 al pinb6 delay_ms(2); // Retardo de muestreo output_low(d_ centena); //manda un 0 al pinb6 output_d(display[bcd_mil]); // Imprime el dato en la salida bcd_mil output_high(d_umil); //manda un 1 al pinb7 delay_ms(2); // Retardo de muestreo output_low(d_ umil); //manda un 0 al pinb7 } }//end main Se debe realizar el montaje del circuito simulado, como se muestra en la imagen 8 y un montaje físico como en la imagen 9.

8 Imagen 6. Simulación, Conversor A/D con LM35. Imagen 7. Montaje en Protoboard, Conversor A/D con LM Presentar el ejercicio del laboratorio planteado. 2. Realizar el taller: Descripción de los pasos para la conversión digital: Descripción de la configuración ADC:

COMUNICACIÓN I2C (Bus de circuito inter-integrado)

COMUNICACIÓN I2C (Bus de circuito inter-integrado) Aplicar los conocimientos básicos de electrónica de manera teórica (lenguaje ANSI-C) y práctica (montaje de circuitos). Conocer las funciones que gobiernan las entradas y salidas del microcontrolador PIC18F47J53.

Más detalles

Conversores Análogo-Digital

Conversores Análogo-Digital Conversores Análogo-Digital mc PIC Preparado por : jhuircan Depto. Ingeniería Eléctrica Universidad de La Frontera Proceso de Conversión Código Correspondiente a la muestra j S(t) S(k) 0010..0011 ADC t

Más detalles

PROFESOR: Práctica 9. Convertidor Analógico-Digital

PROFESOR: Práctica 9. Convertidor Analógico-Digital INSTITUTO POLITÉCNICO NACIONAL Centro de Estudios Científicos y Tecnológicos Nº 1 Gonzalo Vázquez Vela Academia de Sistemas Digitales Prácticas de Micro Electrónica Programable NOMBRE DEL ALUMNO: Apellido

Más detalles

CONVERTIDORES DIGITAL ANALOGICO (DAC) Y ANALOGICO DIGITAL (ADC)

CONVERTIDORES DIGITAL ANALOGICO (DAC) Y ANALOGICO DIGITAL (ADC) CONVERTIDORES DIGITAL ANALOGICO (DAC) Y ANALOGICO DIGITAL (ADC) En el mundo real las señales analógicas varían constantemente, pueden variar lentamente como la temperatura o muy rápidamente como una señal

Más detalles

Tema 09: Convertidor Analógico Digital

Tema 09: Convertidor Analógico Digital Tema 09: Convertidor Analógico Digital Solicitado: Ejercicios 05: Convertidor Analógico Digital M. en C. Edgardo Adrián Franco Martínez http://www.eafranco.com edfrancom@ipn.mx @edfrancom edgardoadrianfrancom

Más detalles

Tema 09: Convertidor Analógico Digital

Tema 09: Convertidor Analógico Digital Tema 09: Convertidor Analógico Digital M. en C. Edgardo Adrián Franco Martínez http://www.eafranco.com edfrancom@ipn.mx @edfrancom edgardoadrianfrancom 1 Contenido Convertidor A/D Funcionamiento básico

Más detalles

Se recomienda consultar el siguiente enlace y el datasheet del PIC18F2550.

Se recomienda consultar el siguiente enlace y el datasheet del PIC18F2550. Se recomienda consultar el siguiente enlace y el datasheet del PIC18F2550. http://picfernalia.blogspot.com.es/2012/07/conversor-adc.html ADCON0: - ADON: Habilita el módulo convertidor A/D. - GO/DONE: Con

Más detalles

TUTORIAL II parte A. Observemos el diagrama de pines del microcontrolador 16F877A:

TUTORIAL II parte A. Observemos el diagrama de pines del microcontrolador 16F877A: 1 TUTORIAL II parte A OBJETIVOS Conocer el manejo de puertos del microcontrolador 16F877A, registros TRIS y PORT. Familiarizarse con las principales instrucciones del lenguaje y la estructura de un programa

Más detalles

CONVERSORES (ADC Y DAC) MICROPROCESADORES II

CONVERSORES (ADC Y DAC) MICROPROCESADORES II CONVERSORES (ADC Y DAC) MICROPROCESADORES II 1 Introducción Conversor A/D Conceptos Básicos Conversor D/A FEB-JUN 2009 MICROPROCESADORES II 1 El control de procesos con una computadora digital es cada

Más detalles

EL módulo conversor A/D. (c) Domingo Llorente

EL módulo conversor A/D. (c) Domingo Llorente EL módulo conversor A/D (c) Domingo Llorente 2010 1 Características del conversor A/D Ocho entradas de señal analógica. (5 para el 16F876x) Resolución de la conversión de 10 bits. (0-1024) Distintas fuentes

Más detalles

Circuitos Sample & Hold y Conversores. Introducción

Circuitos Sample & Hold y Conversores. Introducción Circuitos Sample & Hold y Conversores Introducción Los circuitos de muestreo y retención se utilizan para muestrear una señal analógica en un instante dado y mantener el valor de la muestra durante tanto

Más detalles

Práctica No. 6 del Curso "Meteorología y Transductores". "Convertidores ADC y DAC"

Práctica No. 6 del Curso Meteorología y Transductores. Convertidores ADC y DAC Objetivos. Práctica No. 6 del Curso "Meteorología y Transductores". "Convertidores ADC y DAC" Comprobar por medio de simulaciones el funcionamiento de los convertidores analógico digital (ADC) y el digital

Más detalles

El circuito integrado ADC1230, fabricado

El circuito integrado ADC1230, fabricado ADC12130 Conversor A/D serial de 12 bits GUILLERMO RAMOS RAMOS gramos@telesat.com.co Los conversores A/D seriales se caracterizan por su tamaño reducido y bajo costo. El circuito integrado que describimos

Más detalles

Tema 08: Convertidor Digital Analógico

Tema 08: Convertidor Digital Analógico Tema 08: Convertidor Digital Analógico M. en C. Edgardo Adrián Franco Martínez http://www.eafranco.com edfrancom@ipn.mx @edfrancom edgardoadrianfrancom 1 Contenido Introducción Tipos de señales Convertidor

Más detalles

4. Prácticas: Circuitos Combinacionales

4. Prácticas: Circuitos Combinacionales 4. Prácticas: Circuitos Combinacionales I. Ejercicios teóricos 1. Diseñar, empleando puertas lógicas, un codificador de ocho a tres líneas con salida en binario natural y prioridad a la entrada de mayor

Más detalles

Laboratorio 8. Uso de Conversor Análogo Digital Digital Análogo en microcontrolador MSP430.

Laboratorio 8. Uso de Conversor Análogo Digital Digital Análogo en microcontrolador MSP430. Laboratorio 8. Uso de Conversor Análogo Digital Digital Análogo en microcontrolador MSP430. Objetivos. Estudiar y comprender los principios básicos de conversión análogo digital. Estudiar y comprender

Más detalles

Proyecto final "Sistema de instrumentación virtual"

Proyecto final Sistema de instrumentación virtual "Sistema de instrumentación virtual" M. en C. Edgardo Adrián Franco Martínez http://www.eafranco.com @efranco_escom edfrancom@ipn.mx 1 Contenido Introducción Objetivos Actividades Observaciones Reporte

Más detalles

CAPÍTULO 3. Las principales funciones del microcontrolador son: adquirir por medio del A/D y también, mostrar mensajes de pesos y voltaje en el LCD.

CAPÍTULO 3. Las principales funciones del microcontrolador son: adquirir por medio del A/D y también, mostrar mensajes de pesos y voltaje en el LCD. CAPÍTULO 3 3. DISEÑO DEL SOFTWARE. La programación del PIC se realizó en lenguaje C, usando el compilador mikroc PRO for PIC. Este compilador se utilizó, ya que tiene una versión demo muy buena, además

Más detalles

Construir un sensor de temperatura corporal.

Construir un sensor de temperatura corporal. 4.4 Transductor de temperatura 4.4.1 Objetivo Construir un sensor de temperatura corporal. 4.4.2 Preinforme 4.4.2.1 Diseñe un sistema de sensado de temperatura, básico empleando un transductor adecuado

Más detalles

Convertidores analógicos-digitales

Convertidores analógicos-digitales Convertidores analógicos-digitales Los convertidores A/D son dispositivos electrónicos que establecen una relación biunívoca entre el valor de la señal en su entrada y la palabra digital obtenida en su

Más detalles

7. CONVERTIDORES DIGITAL A ANALÓGICO (DAC) Y ANALÓGICO A DIGITAL (ADC).

7. CONVERTIDORES DIGITAL A ANALÓGICO (DAC) Y ANALÓGICO A DIGITAL (ADC). 7. CONVERTIDORES DIGITAL A ANALÓGICO (DAC) Y ANALÓGICO A DIGITAL (ADC). ÍNDICE 7.1. Introducción. Interfaces entre el mundo digital y el analógico. 7.2. Convertidores DAC. Características de funcionamiento.

Más detalles

Universidad Nacional de Ingeniería Arquitectura de Maquinas I

Universidad Nacional de Ingeniería Arquitectura de Maquinas I Universidad Nacional de Ingeniería Arquitectura de Maquinas I Unidad III: Introduccion a los Microcontroladores PIC MICROCHIP CCS un lenguaje de Alto nivel para PIC. Compilador C de CCS Este compilador

Más detalles

Resumen de CONVERSORES ANALÓGICO DIGITALES Y DIGITALES ANALÓGICOS

Resumen de CONVERSORES ANALÓGICO DIGITALES Y DIGITALES ANALÓGICOS Universidad De Alcalá Departamento de Electrónica Resumen de CONVERSORES ANALÓGICO DIGITALES Y DIGITALES ANALÓGICOS Tecnología de Computadores Almudena López José Luis Martín Sira Palazuelos Manuel Ureña

Más detalles

PRACTICA 5 CIRCUITOS CONVERTIDORES ANALÓGICO A DIGITAL (ADC) Y DIGITAL A ANALÓGICO (DAC)

PRACTICA 5 CIRCUITOS CONVERTIDORES ANALÓGICO A DIGITAL (ADC) Y DIGITAL A ANALÓGICO (DAC) 31 PRACTICA 5 CIRCUITOS CONVERTIDORES ANALÓGICO A DIGITAL (ADC) Y DIGITAL A ANALÓGICO (DAC) OBJETIVOS Verificar el funcionamiento del dispositivo convertidor analógico a digital ADC080. Verificar el funcionamiento

Más detalles

SISTEMAS DE ADQUISICIÓN DE DATOS. Convertidores D/A Convertidores A/D

SISTEMAS DE ADQUISICIÓN DE DATOS. Convertidores D/A Convertidores A/D SISTEMAS DE ADQUISICIÓN DE DATOS Convertidores D/A Convertidores A/D Capitulo 0: Circuitos de Adquisición de Puntos discretos sobre una señal analógica V 5 0 9 8 7 6 5 0 0000 000 00 0 0 0 0 0 00 00 0 00

Más detalles

TEMA 12. CONVERSORES D/A y A/D

TEMA 12. CONVERSORES D/A y A/D TEMA 12. CONVESOES D/A y A/D http://www.tech-faq.com/wp-content/uploads/images/integrated-circuit-layout.jpg IEEE 125 Aniversary: http://www.flickr.com/photos/ieee125/with/2809342254/ TEMA 12. CONVESOES

Más detalles

Examen 22 de Febrero 2006

Examen 22 de Febrero 2006 Problema 1 Examen 22 de Febrero 2006 La dirección de marketing de una conocida empresa de desodorantes ha decidido entregar un regalo con cada desodorante en spray. Para ello ha sido encargada la construcción

Más detalles

TELECONTROL Y AUTOMATISMOS

TELECONTROL Y AUTOMATISMOS TELECONTROL Y AUTOMATISMOS ACONDIDIONADORES DE SEÑAL 4. Acondicionamiento de Señal. La señal de salida de un sistema de medición en general se debe procesar de una forma adecuada para la siguiente etapa

Más detalles

TEMA 20 EL CONVERSOR A/D

TEMA 20 EL CONVERSOR A/D TEMA 20 EL CONVERSOR A/D Introducción Al Conversor Analógico/Digital Los microcontroladores PIC de la familia 16F78x, poseen un conversor A/D de 10 bits de resolución, y con 5 entradas para los dispositivos

Más detalles

8. Convertidores Digital a Analógico y Analógico a Digital

8. Convertidores Digital a Analógico y Analógico a Digital 8. Convertidores Digital a Analógico y Analógico a Digital F. Hugo Ramírez Leyva Cubículo 3 Instituto de Electrónica y Mecatrónica hugo@mixteco.utm.mx Octubre 2012 1 Sistemas de adquisición de datos El

Más detalles

Universidad de Alcalá

Universidad de Alcalá Universidad de Alcalá Departamento de Electrónica CONVERSORES ANALÓGICO-DIGITALES Y DIGITALES-ANALÓGICOS Tecnología de Computadores Ingeniería en Informática Sira Palazuelos Manuel Ureña Mayo 2009 Índice

Más detalles

PRACTICA 9: Convertidores ADC (1)

PRACTICA 9: Convertidores ADC (1) PRACTICA 9: Convertidores ADC (1) 1 Introducción 1.1 Convertidor de Rampa Digital 1.2 Convertidor de Seguimiento 1.3 Simulaciones Simulink 1 Introducción El objetivo de esta práctica consiste en familiarizarse

Más detalles

Otros circuitos digitales. Actividad de apertura. Circuitos lógicos secuenciales.

Otros circuitos digitales. Actividad de apertura. Circuitos lógicos secuenciales. Otros circuitos digitales En esta unidad aprenderás: El funcionamiento de los codificadores y decodificadores Multiplexor y Demultiplexor Convertidor Digital-Análogo y Análogo-Digital UNIDAD 4 Actividad

Más detalles

Nelson David Muñoz Politécnico CJIC TUTORIAL DISPLAYS

Nelson David Muñoz Politécnico CJIC  TUTORIAL DISPLAYS 1 TUTORIAL DISPLAYS OBJETIVOS Familiarizarse con el manejo de displays de 7 segmentos por medio de microcontroladores. Crear y manipular variables y vectores de constantes. Realizar la implementación física

Más detalles

Especificaciones técnicas de los prototipos:

Especificaciones técnicas de los prototipos: Especificaciones técnicas de los prototipos: Sensor de Temperatura y Humedad Relativa Sensor de Humedad de la Hoja CARACTERÍSTICAS SENSOR HUMEDAD DE LA HOJA El Sensor de Humedad de la hoja está diseñado

Más detalles

ASIGNATURA: DIBUJO ELECTRONICO I NUMERO DE INFORME: N 04 TEMA: CONVERTIDOR ANALÓGICO A DIGITAL. PRESENTADO POR: LIZANA AGUADO, Fernando

ASIGNATURA: DIBUJO ELECTRONICO I NUMERO DE INFORME: N 04 TEMA: CONVERTIDOR ANALÓGICO A DIGITAL. PRESENTADO POR: LIZANA AGUADO, Fernando Año de la Integración Nacional y el Reconocimiento de Nuestra Diversidad 1 ASIGNATURA: DIBUJO ELECTRONICO I NUMERO DE INFORME: N 04 TEMA: CONVERTIDOR ANALÓGICO A DIGITAL PRESENTADO POR: LIZANA AGUADO,

Más detalles

Formato para prácticas de laboratorio

Formato para prácticas de laboratorio CARRERA PLAN DE ESTUDIO CLAVE ASIGNATURA NOMBRE DE LA ASIGNATURA IC 2003-1 5040 Circuitos Digitales Avanzados PRÁCTICA No. 6 LABORATORIO DE NOMBRE DE LA PRÁCTICA DURACIÓN (HORA) Multiplicador binario 4

Más detalles

Práctica 1 Introducción al Transistor BJT Diseño Región de Corte Saturación

Práctica 1 Introducción al Transistor BJT Diseño Región de Corte Saturación Práctica 1 Introducción al Transistor BJT Diseño Región de Corte Saturación Universidad de San Carlos de Guatemala, Facultad de Ingeniería, Escuela de Mecánica Eléctrica, Laboratorio de Electrónica 1,

Más detalles

CURSO BÁSICO MICROCONTROLADORES PIC

CURSO BÁSICO MICROCONTROLADORES PIC CURSO BÁSICO MICROCONTROLADORES PIC CONFIGURACIÓN BÁSICA FUSIBLES Los fusibles son palabras de configuración que definen las condiciones de funcionamiento del microcontrolador. Algunos fusibles importantes

Más detalles

Sistemas de adquisición? Variables involucradas en estos sistemas? Filtros? Señales?

Sistemas de adquisición? Variables involucradas en estos sistemas? Filtros? Señales? Julio Cruz Sistemas de adquisición? Variables involucradas en estos sistemas? Filtros? Señales? Sistemas de adquisición de señales Conversión análogo-digital Sistema de adquisición de ECG Comerciales Prototipo

Más detalles

Electrónica Digital. Tema 9. Conversión A/D-D/A. Norberto Malpica Susana Borromeo López Joaquín Vaquero López. Universidad Rey Juan Carlos

Electrónica Digital. Tema 9. Conversión A/D-D/A. Norberto Malpica Susana Borromeo López Joaquín Vaquero López. Universidad Rey Juan Carlos Universidad Rey Juan Carlos Electrónica Digital Tema 9. Conversión A/D-D/A Norberto Malpica Susana Borromeo López Joaquín Vaquero López 1 Contenido 1. Introducción 2. Conversión A/D 3. Conversión D/A 2

Más detalles

Modulación. Modulación n AM. Representación n en el Tiempo y en Frecuencia

Modulación. Modulación n AM. Representación n en el Tiempo y en Frecuencia Objetivos Unidad III Técnicas de Modulación n y Conversión n Análoga loga-digital Definir, describir, y comparar las técnicas de modulación analógica y digital. Definir y describir la técnica de conversión

Más detalles

Figura 1 Si la señal continua únicamante toma dos balores, entonces se denomina señal binaria, Figura 2. Figura 2

Figura 1 Si la señal continua únicamante toma dos balores, entonces se denomina señal binaria, Figura 2. Figura 2 1. Señales digitales-analógicas Antes de comenzar con el diseño de sistemas digitales, se debe definir qué es una señal continua, discreta, analógica, digital y binaria. Una señal continua es aquella que

Más detalles

AUDIO DIGITAL. Diego Cabello Ferrer Dpto. Electrónica y Computación Universidad de Santiago de Compostela

AUDIO DIGITAL. Diego Cabello Ferrer Dpto. Electrónica y Computación Universidad de Santiago de Compostela AUDIO DIGITAL Diego Cabello Ferrer Dpto. Electrónica y Computación Universidad de Santiago de Compostela 1. Introducción Señal de audio: onda mecánica Transductor: señal eléctrica Las variables físicas

Más detalles

CURSO: MICROCONTROLADORES UNIDAD 3: CONVERSOR A/D 16F877- TEORÍA PROFESOR: JORGE ANTONIO POLANÍA

CURSO: MICROCONTROLADORES UNIDAD 3: CONVERSOR A/D 16F877- TEORÍA PROFESOR: JORGE ANTONIO POLANÍA CURSO: MICROCONTROLADORES UNIDAD 3: CONVERSOR A/D 16F877- TEORÍA PROFESOR: JORGE ANTONIO POLANÍA El convertidor A/D de aproximaciones sucesivas es el más utilizado cuando se requieren velocidades de conversión

Más detalles

Guia para examen de Sistemas Embebidos I Para primer parcial Febrero 2013 Revisión 2 Ing. Julio Cesar Gonzalez Cervantes

Guia para examen de Sistemas Embebidos I Para primer parcial Febrero 2013 Revisión 2 Ing. Julio Cesar Gonzalez Cervantes Qué significa ALU? Arithmetic Logic Unit Guia para examen de Sistemas Embebidos I Para primer parcial Febrero 2013 Revisión 2 Ing. Julio Cesar Gonzalez Cervantes que operaciones realiza un ALU? suma, resta,

Más detalles

DEPARTAMENTO DE ELÉCTRICA Y ELECTRÓNICA CARRERA DE INGENIERÍA ELECTRÓNICA E INSTRUMENTACIÓN

DEPARTAMENTO DE ELÉCTRICA Y ELECTRÓNICA CARRERA DE INGENIERÍA ELECTRÓNICA E INSTRUMENTACIÓN DEPARTAMENTO DE ELÉCTRICA Y ELECTRÓNICA CARRERA DE INGENIERÍA ELECTRÓNICA E INSTRUMENTACIÓN PROYECTO DE TITULACIÓN PREVIO A LA OBTENCIÓN DEL TÍTULO DE INGENIERO EN ELECTRÓNICA E INSTRUMENTACIÓN CHICAISA

Más detalles

Práctica 1 Transistor BJT Región de Corte Saturación Aplicaciones

Práctica 1 Transistor BJT Región de Corte Saturación Aplicaciones Práctica 1 Transistor BJT Región de Corte Saturación Aplicaciones Universidad de San Carlos de Guatemala, Facultad de Ingeniería, Escuela de Mecánica Eléctrica, Laboratorio de Electrónica 1, Segundo Semestre

Más detalles

Práctica 1 Introducción al Transistor BJT Región de Corte Saturación Aplicaciones

Práctica 1 Introducción al Transistor BJT Región de Corte Saturación Aplicaciones Práctica 1 Introducción al Transistor BJT Región de Corte Saturación Aplicaciones Universidad de San Carlos de Guatemala, Facultad de Ingeniería, Escuela de Mecánica Eléctrica, Laboratorio de Electrónica

Más detalles

UNIVERSIDAD DE SAN CARLOS DE GUATEMALA FACULTAD DE INGENIERIA ESCUELA DE MECANICA ELECTRICA LABORATORIO DE ELECTRONICA PENSUM ELECTRONICA 6

UNIVERSIDAD DE SAN CARLOS DE GUATEMALA FACULTAD DE INGENIERIA ESCUELA DE MECANICA ELECTRICA LABORATORIO DE ELECTRONICA PENSUM ELECTRONICA 6 UNIVERSIDAD DE SAN CARLOS DE GUATEMALA FACULTAD DE INGENIERIA ESCUELA DE MECANICA ELECTRICA LABORATORIO DE ELECTRONICA PENSUM ELECTRONICA 6 ~ 1 ~ ÍNDICE Introducción...página 3 Teoría y prácticas de instrumentación...página

Más detalles

Segundo Parcial Programación en Mikro C PIC16F877

Segundo Parcial Programación en Mikro C PIC16F877 Segundo Parcial Programación en Mikro C para microcontroladores PIC PIC16F877 Operadores a nivel de bits Operador operacion & AND; compara pares de bits y regresa 1 si ambos son 1 s, de otra manera regresa

Más detalles

MULTIPLEX TELEFÓNICO CON SISTEMA PCM

MULTIPLEX TELEFÓNICO CON SISTEMA PCM MULTIPLEX TELEFÓNICO CON SISTEMA PCM RESUMEN Director: Ing. RAUL A. FUNES Laboratorio de la Facultad de Ingeniería. En este artículo se describe un sistema telefónico tipo PCM, en el cual se han utilizado

Más detalles

MODULO ANALOGICO REMOTO

MODULO ANALOGICO REMOTO MODULO ANALOGICO REMOTO ENTRADAS Y SALIDAS REMOTAS EN PLC MODICOM En diversas aplicaciones de automatización con PLCs, ya sea por razones de lograr la supervisión totalmente integrada de una planta o simplemente

Más detalles

Convertidor Analógico Digital ADC

Convertidor Analógico Digital ADC Convertidor Analógico Digital ADC M.C. Jorge Eduardo Ibarra Esquer Convertidor analógico a digital ADC Las características de este módulo son: Ocho canales con entrada multiplexada Aproximación lineal

Más detalles

PIC16F88. Características

PIC16F88. Características Osciladores PIC16F88. Características Osciladores a cristal: LP, XT y HS hasta 20Mhz Oscilador externo hasta 20Mhz Oscilador interno: 31Khz 8Mhz Periféricos Módulo PWM/CCP CCP (captura/comparación) ->

Más detalles

Practica 03: El convertidor analógico digital

Practica 03: El convertidor analógico digital Practica 03: El convertidor analógico digital M. en C. Edgardo Adrián Franco Martínez http://www.eafranco.com edfrancom@ipn.mx @edfrancom edgardoadrianfrancom 1 Contenido Introducción Objetivos Actividades

Más detalles

7. CONVERTIDORES DIGITAL A ANALÓGICO (DAC) Y ANALÓGICO A DIGITAL (ADC).

7. CONVERTIDORES DIGITAL A ANALÓGICO (DAC) Y ANALÓGICO A DIGITAL (ADC). 7. CONVERTIDORES DIGITAL A ANALÓGICO (DAC) Y ANALÓGICO A DIGITAL (ADC). ÍNDICE 7.1. Introducción. Interfaces entre el mundo digital y el analógico. 7.2. Convertidores DAC. Características de funcionamiento.

Más detalles

Diseño y construcción de una tarjeta convertidora de 8 canales A/D y 4 canales D/A

Diseño y construcción de una tarjeta convertidora de 8 canales A/D y 4 canales D/A Diseño y construcción de una tarjeta convertidora de 8 canales A/D y 4 canales D/A M. en C. Romeo Urbieta Parrazales Profesor e Investigador del CINTEC- IPN Ing. Ignacio Minjares Tarazena Profesor e Investigador

Más detalles

SISTEMAS ELECTRÓNICOS INDUSTRIALES II EC2112

SISTEMAS ELECTRÓNICOS INDUSTRIALES II EC2112 SISTEMAS ELECTRÓNICOS INDUSTRIALES II EC2112 Prof. Julio Cruz Departamento de Electrónica Trimestre Enero-Marzo 2009 Sección 2 Previamente Memorias Donde están? Terminología Operación Tipos Expansión Revisión

Más detalles

Electrónica Digital: Diseño y Lógica Secuencial

Electrónica Digital: Diseño y Lógica Secuencial Electrónica Digital: Diseño y Lógica Secuencial Profesor: Ing Andrés Felipe Suárez Grupo de Investigación en Percepción y Sistemas Inteligentes. Email: Andres.suarez@correounivalle.edu.co Tabla de Contenido

Más detalles

PROFESOR: Práctica 10. Modulación por ancho de pulso (PWM) Emplea un microcontrolador para procesamiento de señales analógicas y digitales

PROFESOR: Práctica 10. Modulación por ancho de pulso (PWM) Emplea un microcontrolador para procesamiento de señales analógicas y digitales INSTITUTO POLITÉCNICO NACIONAL Centro de Estudios Científicos y Tecnológicos Nº 1 Gonzalo Vázquez Vela Academia de Sistemas Digitales Prácticas de Micro Electrónica Programable NOMBRE DEL ALUMNO: Apellido

Más detalles

Clase 3: Sensores I. Conviértete en un Joven Inventor PENTA UC

Clase 3: Sensores I. Conviértete en un Joven Inventor PENTA UC Clase 3: Conviértete en un Joven Inventor PENTA UC 2014-1 Contenidos Introducción: Qué son los sensores? Mundo analógico y mundo digital. Análogo y digital en Arduino. ADC Ejemplo Botones El potenciómetro

Más detalles

MICROPROCESADORES II LENGUAJE C EN LOS MICROCONTROLADORES PIC

MICROPROCESADORES II LENGUAJE C EN LOS MICROCONTROLADORES PIC MICROPROCESADORES II LENGUAJE C EN LOS MICROCONTROLADORES PIC Tipos de datos en CCS TIPO TAMAÑO RANGO DESCRIPCION int1 short 1 bit 0 a 1 Binario int int8 8 bits 0 a 255 Entero int16 long 16 bits 0 a 65535

Más detalles

TÉCNICO SUPERIOR UNIVERSITARIO EN MECATRÓNICA ÁREA AUTOMATIZACIÓN EN COMPETENCIAS PROFESIONALES ASIGNATURA DE DISPOSITIVOS DIGITALES

TÉCNICO SUPERIOR UNIVERSITARIO EN MECATRÓNICA ÁREA AUTOMATIZACIÓN EN COMPETENCIAS PROFESIONALES ASIGNATURA DE DISPOSITIVOS DIGITALES TÉCNICO SUPERIOR UNIVERSITARIO EN MECATRÓNICA ÁREA AUTOMATIZACIÓN EN COMPETENCIAS PROFESIONALES ASIGNATURA DE DISPOSITIVOS DIGITALES 1. Competencias Implementar sistemas de medición y control bajo los

Más detalles

ASÍ FUNCIONA LA CONVERSIÓN ANALÓGICO- DIGITAL DIGITALIZACIÓN DE LA SEÑAL ANALÓGICA

ASÍ FUNCIONA LA CONVERSIÓN ANALÓGICO- DIGITAL DIGITALIZACIÓN DE LA SEÑAL ANALÓGICA ASÍ FUNCIONA LA CONVERSIÓN ANALÓGICO- DIGITAL DIGITALIZACIÓN DE LA SEÑAL ANALÓGICA En una señal eléctrica analógica, los valores de tensión positivos y negativos pueden mantenerse con un valor constante,

Más detalles

En el capítulo anterior se describieron las modificaciones hechas al sistema de

En el capítulo anterior se describieron las modificaciones hechas al sistema de Capítulo. Modificaciones al instrumento virtual En el capítulo anterior se describieron las modificaciones hechas al sistema de acondicionamiento analógico. Estos cambios forzosamente llevan a cambios

Más detalles

con nueve dígitos disponibles (= 9x4 salidas digitales BCD) más la señal de clock en un sólo conector.

con nueve dígitos disponibles (= 9x4 salidas digitales BCD) más la señal de clock en un sólo conector. Examen Final. 5 de febrero de 2003. Se tiene un conjunto de seis sensores que miden peso en sendas balanzas. La escala comprende desde cero a 30 Kg. Éstos entregan una señal analógica comprendida entre

Más detalles

DESCRIPCION DEL SITEMA MASTER.

DESCRIPCION DEL SITEMA MASTER. DESCRIPCION DEL SITEMA MASTER. ESTRUCTURA. El sistema MASTER (Sistema Modular para Control Adaptativo en Tiempo Real) se ha implementado en base a un computador compatible PC-AT, dotado de una tarjeta

Más detalles

CP1E-NA con Analogía Integrada

CP1E-NA con Analogía Integrada Guía Rápida CP1E-NA con Analogía Integrada 1. Introducción al CP1E 2. Especificaciones técnicas de E/S Analógicas integradas 3. Conexionado E/S Analógicas 4. Gráficas de conversión E/S Analóg. 5. Funciones

Más detalles

Diseño de un sintetizador de frecuencia basado en el circuito integrado PLL CD4046 (Noviembre 2008)

Diseño de un sintetizador de frecuencia basado en el circuito integrado PLL CD4046 (Noviembre 2008) Informe cuatro: Diseño de un sintetizador de frecuencia basado en el circuito integrado PLL CD4046. 1 Diseño de un sintetizador de frecuencia basado en el circuito integrado PLL CD4046 (Noviembre 2008)

Más detalles

CURSO: MICROCONTROLADORES UNIDAD 4: COMUNICACIÓN SERIE- ARDUINO PROFESOR: JORGE ANTONIO POLANÍA

CURSO: MICROCONTROLADORES UNIDAD 4: COMUNICACIÓN SERIE- ARDUINO PROFESOR: JORGE ANTONIO POLANÍA CURSO: MICROCONTROLADORES UNIDAD 4: COMUNICACIÓN SERIE- ARDUINO PROFESOR: JORGE ANTONIO POLANÍA Un receptor asíncrono / transmisor universal (UART) es un bloque de circuitería responsable de implementar

Más detalles

Introducción a la Electrónica

Introducción a la Electrónica Introducción a la Electrónica Conversores: Analógico a Digital (AD) y Digital a Analógico (DA) 17/11/2009 Introducción a la Electrónica 1 Señales analógicas ESCENARIO TÍPICO Las señales que representan

Más detalles

Interfaces específicas

Interfaces específicas Interfaces específicas Permiten la conexión a elementos o procesos particulares de la planta Señales no estándar (5 a 10% aplicaciones) Disponibles para autómatas de gama media y alta Clasificación: o

Más detalles

LECCIÓN Nº 02 FUNCIONES DE LOGICA COMBINACIONAL (PARTE 1)

LECCIÓN Nº 02 FUNCIONES DE LOGICA COMBINACIONAL (PARTE 1) LECCIÓN Nº 02 FUNCIONES DE LOGICA COMBINACIONAL (PARTE 1) 1. CONVERSORES DE CODIGO La disponibilidad de una gran variedad de códigos para los mismos elementos discretos de información origina el uso de

Más detalles

Display 7 segmentos. Ing. Diego Chacón, Mdhd.

Display 7 segmentos. Ing. Diego Chacón, Mdhd. Display 7 segmentos Ing. Diego Chacón, Mdhd. El displays segmentos, es un componente que se utiliza para la representación de números en muchos dispositivos electrónicos. Cada vez es más frecuente encontrar

Más detalles

GUIA DE TRABAJOS PRÁCTICOS ÁREA TÉCNICA

GUIA DE TRABAJOS PRÁCTICOS ÁREA TÉCNICA FECHA: 1º TRIMESTRE PRÁCTICO N : 1 Manejo de puertos como salidas en microcontrolador. OBJETIVO DEL PRÁCTICO Que el estudiante se familiarice con el entorno de programación. Las puertas de E/S. Regul7805.

Más detalles

Electrónica Digital. Actividad Dirigida. Implementación de un Cronómetro Digital

Electrónica Digital. Actividad Dirigida. Implementación de un Cronómetro Digital Electrónica Digital Actividad Dirigida Implementación de un Cronómetro Digital Trabajo a realizar La actividad consiste en la implementación de un cronómetro digital con capacidad de cuenta de minutos

Más detalles

MÓDULO Nº10 CONVERTIDORES DIGITAL ANALÓGICO

MÓDULO Nº10 CONVERTIDORES DIGITAL ANALÓGICO MÓDULO Nº0 CONVERTIDORES DIGITAL ANALÓGICO UNIDAD: CONVERTIDORES TEMAS: Introducción al tratamiento digital de señales. Definición y Funcionamiento. Parámetros Principales. DAC00 y circuitos básicos. OBJETIVOS:

Más detalles

Conversor A/D. Conversor Analógico a Digital

Conversor A/D. Conversor Analógico a Digital Conversor Analógico a Digital Analógico Analógico Controlador Sensor Nivel Valor Deseado V.D. Controlador Proceso Proceso V.D. Controlador Proceso Controlador Digital A/D Micro procesador D/A Conversor

Más detalles

Convertidor Delta-Sigma ( - )

Convertidor Delta-Sigma ( - ) INSTRUMENTACIÓN ELECTRÓNICA Convertidor Delta-Sigma ( - ) INTRODUCCIÓN Partimos de la descripción del modulador, primera parte del convertidor analógico-digital Delta-Sigma ( - ). A partir de ella debemos

Más detalles

Periféricos Interfaces y Buses

Periféricos Interfaces y Buses Periféricos Interfaces y Buses I. Arquitectura de E/S II. Programación de E/S III. Interfaces de E/S de datos IV. Dispositivos de E/S de datos V. Buses Buses de E/S (PCI, PC104, AGP). Sistemas de interconexión

Más detalles

PCM MODULACION ANALOGA CON PORTADORA DIGITAL

PCM MODULACION ANALOGA CON PORTADORA DIGITAL PCM MODULACION ANALOGA CON PORTADORA DIGITAL o Es la representación de la información analógica en una señal digital o Al proceso de conversión de señales análoga en digitales se le denomina digitalización

Más detalles

Practica 1: It s alive!

Practica 1: It s alive! Pág.: 1 Practica 1: It s alive! 1.1 - Objetivo El objetivo de esta primera práctica es plantear un problema sencillo, que requiera implementar el hardware básico de un microcontrolador y comprobar su funcionamiento

Más detalles

Comunicaciones I. Capítulo 4 CODIFICACIÓN Y MODULACIÓN

Comunicaciones I. Capítulo 4 CODIFICACIÓN Y MODULACIÓN Comunicaciones I Capítulo 4 CODIFICACIÓN Y MODULACIÓN 1 Resumen de lo visto en el Capítulo 4 Se analizó la diferencia entre datos analógicos y digitales, y entre señales analógicas y digitales. A partir

Más detalles

3.6) Repite el problema 3.5 para una frecuencia de reloj de 100KHz.

3.6) Repite el problema 3.5 para una frecuencia de reloj de 100KHz. urso 2002-2003. Boletín-3, Pág. 1 de 6 3 3.1) ual es el peor caso de tiempo de conversión para un convertidor A/D de integración de doble rampa con 18 bits, si la frecuencia de reloj es de 5MHz?. T 52,4ms

Más detalles

REGISTRO DE SEÑALES FÍSICAS UTILIZANDO PC. Sara Sáenz C. *, José González E ** y Eusebio García ** Abstract

REGISTRO DE SEÑALES FÍSICAS UTILIZANDO PC. Sara Sáenz C. *, José González E ** y Eusebio García ** Abstract REGISTRO DE SEÑALES FÍSICAS UTILIZANDO PC Sara Sáenz C. *, José González E ** y Eusebio García ** Abstract It described steps to acquire physical signals using the ADC0808 chip. The use of hardware that

Más detalles

CONVERTIDORES DIGITAL ANALÓGICO Y ANALÓGICO - DIGITAL

CONVERTIDORES DIGITAL ANALÓGICO Y ANALÓGICO - DIGITAL CONVERTIDORES DIGITAL ANALÓGICO Y ANALÓGICO - DIGITAL CONVERTIDORES DIGITAL ANALÓGICO Las dos operaciones E/S relativas al proceso de mayor importancia son la conversión de digital a analógico D/A y la

Más detalles

CURSO: ELECTRÓNICA DIGITAL UNIDAD 2: SISTEMAS COMBINATORIOS - TEORÍA PROFESOR: JORGE ANTONIO POLANÍA 1. CIRCUITOS ARITMÉTICOS

CURSO: ELECTRÓNICA DIGITAL UNIDAD 2: SISTEMAS COMBINATORIOS - TEORÍA PROFESOR: JORGE ANTONIO POLANÍA 1. CIRCUITOS ARITMÉTICOS CURSO: ELECTRÓNICA DIGITAL UNIDAD 2: SISTEMAS COMBINATORIOS - TEORÍA PROFESOR: JORGE ANTONIO POLANÍA En Electrónica digital se tienen sistemas combinatorios y sistemas secuenciales. Un sistema combinatorio

Más detalles

AR 3 T. Tutorial 3: Voltajes analógicos y PMW, Potenciómetro + LED. Objetivo General.

AR 3 T. Tutorial 3: Voltajes analógicos y PMW, Potenciómetro + LED. Objetivo General. Tutorial 3: Voltajes analógicos y PMW, AR 3 T Potenciómetro + LED Objetivo General. En este proyecto vamos a controlar el brillo de un led utilizando un potenciómetro. Tomaremos los valores analógicos

Más detalles

PIC 18F45XX CARACTERÍSTICAS GENERALES

PIC 18F45XX CARACTERÍSTICAS GENERALES PIC 18F45XX CARACTERÍSTICAS GENERALES 1. Características generales CPU con arquitectura Harvard (77 instrucciones) Todas las instrucciones constan de 1 sola palabra de 16 bits (2 bytes) excepto las de

Más detalles

Taller No. 6 Final Electrónica digital (Multiplexores y demultiplexores)

Taller No. 6 Final Electrónica digital (Multiplexores y demultiplexores) Taller No. 6 Final Electrónica digital (Multiplexores y demultiplexores) CONCEPTOS PREVIOS MULTIPLEXORES: Los multiplexores son circuitos combinacionales con varias entradas y una salida de datos, y están

Más detalles

Diagrama del Conversor Análogo-Digital

Diagrama del Conversor Análogo-Digital Conversor Análogo-Digital (ADC12) del MSPF149 Descripción [1,2] El microcontrolador MSPF149 tiene un conversor análogo-digital (ADC12) con las siguientes características: 12 bits. Tasa de muestreo máxima:

Más detalles

ÍNDICE CAPÍTULO 1 FUNDAMENTOS DE LOS MICROCONTROLADORES

ÍNDICE CAPÍTULO 1 FUNDAMENTOS DE LOS MICROCONTROLADORES ÍNDICE CAPÍTULO 1 FUNDAMENTOS DE LOS MICROCONTROLADORES 1.1 Introducción 1.2 Fundamentos de los procesadores digitales secuenciales 1.2.1 Introducción 1.2.2 Arquitectura interna 1.2.2.1 Procesadores digitales

Más detalles

TRABAJO PRÁCTICO Nº 3. Expresiones booleanas, tablas de verdad y compuertas lógicas

TRABAJO PRÁCTICO Nº 3. Expresiones booleanas, tablas de verdad y compuertas lógicas Sistemas Digitales TRABAJO PRÁCTICO Nº 3 Expresiones booleanas, tablas de verdad y compuertas lógicas Ejercicio Nº 1: Dadas las siguientes funciones: F ( A, B, C, D) = C.( D + A) + A. C.( B + D 1 ) F 2

Más detalles

Transmisión Digital (60123) Fredy Castellanos - UNET -

Transmisión Digital (60123) Fredy Castellanos - UNET - Especialización en Telecomunicaciones Transmisión Digital (60123) 1 Transmisión Digital Ventajas: Inmunidad al Ruido Mejor Procesamiento y Multicanalización Utilización de Regeneración en lugar de Amplificación

Más detalles

Tarjeta Desarrollo dspic. Bogobots ITEM-CEM

Tarjeta Desarrollo dspic. Bogobots ITEM-CEM Tarjeta Desarrollo dspic Bogobots ITEM-CEM El micro dspic30f4013 El microcontrolador utilizado en la tarjeta es un dispositivo de procesamiento digital desarrollado por la compañía Microchip, el cual cumple

Más detalles

Diseño de Circuitos Integrados Analógicos. (Full Custom)

Diseño de Circuitos Integrados Analógicos. (Full Custom) Diseño de Circuitos Integrados Analógicos (Full Custom) Estrategia de diseño TOP-DOWN División del sistema en bloques más simples hasta llegar a los bloques circuitales básicos (divide y vencerás) Niveles

Más detalles

Periféricos Básicos Visualizador LCD

Periféricos Básicos Visualizador LCD Periféricos Básicos Visualizador LCD Es frecuente la necesidad de mostrar mensajes que tienen que ver con el estado de algo o el valor de un instrumento de medida electrónico. Para estos casos la utilización

Más detalles

2. Programación en Arduino

2. Programación en Arduino 2. Programación en Arduino Tallerine Android/LED Instituto de Ingenería Eléctrica 13 de marzo de 2017 IIE (TallerIne) Programación 13 de marzo de 2017 1 / 27 Repaso Conocimos al Arduino Vimos nociones

Más detalles

Universidad Autónoma de Baja California

Universidad Autónoma de Baja California Universidad Autónoma de Baja California Facultad de Ingeniería, Arquitectura y Diseño Práctica de laboratorio Programa educativo Plan de estudio Clave asignatura Nombre de la asignatura Bioingeniería 2009-2

Más detalles