SIMULACIÓN EN VHDL CON VERIBEST

Tamaño: px
Comenzar la demostración a partir de la página:

Download "SIMULACIÓN EN VHDL CON VERIBEST"

Transcripción

1 ELECTRÓNICA DIGITAL PRÁCTICA 5 SIMULACIÓN EN VHDL CON VERIBEST En esta práctica vamos a ver una breve introducción a la simulación de circuitos electrónicos mediante el uso de Lenguajes de Descripción de Hardware, y en concreto utilizaremos el simulador de VHDL de Veribest. El uso de los comandos e instrucciones más comunes de esta herramienta se ilustra mediante un ejemplo sencillo, y abarca desde la creación de un fichero, la compilación, la visualización de las formas de onda Realización de la práctica. Para el desarrollo de la práctica, vamos a realizar la simulación completa de cuantos circuitos electrónicos podamos. Sugerencia: comenzar por los más sencillos (puertas), y luego aumentar la complejidad (mux, sumadores ). 1-. Arranque del programa. Se puede realizar de varias formas, desde el menú de Inicio, bien mediante el icono de acceso directo (si existe), o conociendo la ruta del programa, que debería ser: C:\Programs\Veribest VB99.0\Veribest VHDL simulator \ Veribest VHDL La ventana del simulador se muestra en la siguiente figura: Fig. 1. Ventana inicial del simulador Veribest. 2-. Creación de espacio de trabajo. Antes de empezar a trabajar con esta herramienta, es conveniente crearse lo que se llama un espacio de trabajo (Workspace), donde guardaremos todos nuestros ficheros VHDL. En la barra de herramientas seleccionad Workspace, y dentro de ella New. A continuación se nos pedirá un nombre para nuestro espacio y una ruta. El nombre será Practicas y la ruta c:\practicas_vhdl.

2 Aparecerá inmediatamente una nueva ventana con el nombre Practicas.vpd, con una serie de botones algunos de los cuales se comentarán más adelante, y una carpeta llamada Practicas source, donde se guardarán nuestros ficheros. 3-. Edición de un fichero. Para crear un fichero nuevo se selecciona File New, y en la ventana que aparece escogeremos la opción VHDL source file. Como nombre del fichero escogeremos el que más nos interese, guardándolo desde File Save as. En ocasiones perderemos la extensión *.vhd, necesaria para que nuestro fichero sea reconocido. A partir de este momento, podemos introducir nuestro código. Es una buena costumbre que las palabras reservadas del lenguaje las escribamos en mayúsculas y el resto en minúsculas, aunque el compilador no distingue entre ambas. Una vez escrito el código lo guardamos (File Save), y añadimos el fichero creado al espacio de trabajo, presionando en la ventana del Workspace la tecla +, y escogiendo el nombre de nuestro fichero creado. Si no apareciese, hemos perdido la extensión, abriendo todos los archivos (*.*) se soluciona el problema. Aparecerá nuestro archivo añadido, y podemos cerrar la ventana con el código fuente. Como simple ejemplo, empezaremos con un circuito muy sencillo; una puerta OR de dos entradas. El código para esta podría ser: LIBRARY IEEE; USE IEEE.std_logic_1164.all; ENTITY or2 IS PORT (a, b: in bit; q: out bit); END or2; ARCHITECTURE or2_comp OF or2 IS q <= a OR b; END or2_comp; 4-. Compilación. En realidad no es un proceso exactamente de compilación el que vamos a realizar, porque no crearemos un archivo ejecutable, sino uno listo para ser simulado. Establecemos las condiciones de compilación: Workspace Settings En la nueva ventana, aseguraos que la opción Debug está seleccionada, después haced clic en aceptar. A continuación se analiza el fichero: Workspace Compile <nombre de nuestro fichero> Si el código es correcto, aparecerán mensajes de compilación de las entidades y arquitecturas, componentes y demás que nuestro circuito posea, seguidos del mensaje Done. Si el código no es correcto, aquí se marcará la línea donde se encuentra(n) el

3 error(es) y de que tipo es. En este caso los corregiremos y repetiremos el proceso de compilación. Cuando el proceso no da errores, lo guardamos (Save). La unidad compilada se almacena en la librería WORK. Para ver su ubicación, seleccionad Library en la barra de herramientas, y dentro de ella Library Browser, o pinchad en el icono correspondiente. Aparecen las diferentes librerías, IEEE, STD, VB, y en rojo WORK, WORKLIB. Si pinchamos en esta aparecen, con símbolos de chip, los diferentes circuitos que hayamos compilado; no su código, sino la entidad, arquitectura etc., que llevan asociadas. Podemos Ver información de fecha y opciones de compilación en la parte derecha de la ventana. (Ver figura). Fig. 2. Aspecto del Veribest con el Library Browser activado. 5-. Preparación del diseño. Por cuestiones de flexibilidad, se aconseja que la entidad y la(s) arquitectura(s) asociadas a un diseño ocupen ficheros separados (pero no es en realidad necesario). Si lo hacemos así, llegados a este punto y dentro de WORK, tendremos el icono de nuestra entidad en blanco. Si el fichero de código lleva asociada una arquitectura, estará en rojo. No se puede simular un circuito si no tiene asociada al menos una arquitectura y un fichero de test. En nuestro caso podríamos haber separado la declaración de entidad de la puerta OR en un fichero (p. ej. OR2_ENT.vhd), y la arquitectura en otro (OR2_ARQ.vhd), respetando en ambos casos el código fuente, y de ser así al compilar la entidad tendríamos el chip en blanco (sin opción a simular), y tras añadir la arquitectura el chip en rojo (solo faltaría el test). El momento más delicado de una simulación es la preparación de este fichero de test. Debe barrer, idealmente, todas las posibles variaciones en las entradas del sistema

4 para que estemos seguros de que las salidas sean las correctas, pero lógicamente no siempre va a poder ser así. En nuestro caso, está bastante claro cuales son las formas de onda que necesitamos para verificar el comportamiento de nuestro sistema. a b Fig. 3. Formas de onda que representan la tabla de verdad de una puerta OR de dos entradas. q (tiempo en nanosegundos). El fichero de test necesita una entidad y una arquitectura. Las entidades de ficheros test no tienen puertos. Las arquitecturas son estructurales, incluyendo en su declaración el componente que se va a testear, la arquitectura que se le asocia y la declaración de señales. En nuestro caso: ENTITY or2_test IS END or2_test; ARCHITECTURE test_flujo OF or2_test IS COMPONENT or2 PORT ( a, b: IN BIT; q: OUT BIT); END COMPONENT; FOR I: or2 USE ENTITY WORK.or2 (or2_comp); SIGNAL a, b, q: BIT; I: or2 PORT MAP (a, b, q); a <= '0', '1' AFTER 5 ns, '0' AFTER 15 ns; b <= '0', '1' AFTER 10 ns, '0' AFTER 20 ns; END test_flujo; Se compilará el fichero buscando errores, y añadiéndose a la librería WORK como se vio anteriormente. De momento, es recomendable que veamos como se han añadido las nuevas entidad y arquitectura a la librería. 6-. Simulación Lo primero que hay que hacer es establecer las condiciones de simulación, en Workspace Settings Como en el caso de la compilación, pero ahora se selecciona SIMULATE y se abre la carpeta WORK. Ahí aparece la entidad y la arquitectura de nuestro fichero de test, y por supuesto el resto de las que tengamos. Hay que simular el test, que es donde tenemos configurada la entidad de nuestra puerta OR2, de modo que pinchamos en él. Sobre el espacio que acompaña a la palabra Entity nos colocaremos, activando el botón de Set. A continuación seleccionamos la arquitectura Test_flujo, seleccionándola como

5 arquitectura mediante el mismo procedimiento. Por último, activamos la opción Trace On (que nos permite visualizar las formas de onda resultantes). Esto también se logra desplegando Simulate Trace. A continuación activaremos el simulador. Es recomendable tener activada, en la parte inferior de la pantalla, la pestaña GENERAL de la ventana de mensajes. Podemos poner en marcha la simulación desde Workspace Execute Simulator, y en la pantalla de mensajes se nos avisara, probablemente, de la no existencia de la licencia, y por lo tanto de que el simulador funcionará a baja capacidad. Esto no es importante, aceptaremos el mensaje y continuaremos. En la pestaña SIMULATE Se puede observar que no hay errores, y la simulación está lista para ser comenzada. En la barra de herramientas, presionad el botón de Play, en verde. El tiempo en nanosegundos resaltado debe ser superior al que en nuestro fichero de test hayamos empleado. En nuestro caso lo es. Se nos avisará de que se ha efectuado la simulación del tiempo requerido. Otra opción para activar la simulación es, en la barra de herramientas Simulate Run. Se puede detener la simulación mediante la selección de la función Quit, o su símbolo en la barra de herramientas (Stop). Queremos visualizar los resultados de la simulación. Lo lograremos pinchando en el icono de visualización de las formas de onda (en la barra de herramientas aparece muy gráficamente reflejado de cual se trata). Aparece una ventana nueva, Waveform Viewer, en la que debemos seleccionar las señales a visualizar, lo cual haremos una a una mediante la función Add, o todas ellas a la vez con Add All. En cualquier caso, obtenemos lo siguiente: Fig. 4. Waveform Viewer, las formas de onda obtenidas en la simulación. Donde podemos ver que el resultado obtenido es el que cabía esperar. Ahora estamos en disposición de comenzar desde el principio, añadiendo nuevos circuitos a nuestro espacio de trabajo. Salimos del simulador mediante el icono Eject.

6 7-. VHDL Es de resaltar que, como en todos los lenguajes de programación, la versatilidad del VHDL nos permite realizar el mismo circuito de muchas formas distintas. En un circuito medianamente complicado tendremos probablemente tantas soluciones diferentes como personas aborden el problema. Un último circuito nos permite estudiar algunas de las funciones más destacadas del VHDL. En este ejemplo nos encontramos con uno de los casos en los que el archivo de testeo no puede cubrir todas las posibilidades, o no resulta rentable así hacerlo: Comparador de 4 bits. LIBRARY IEEE; USE IEEE.std_logic_1164.all; ENTITY comp_4bit IS PORT (a, b: IN BIT_VECTOR (3 DOWNTO 0); agtb, altb, aeqtb: OUT BIT); END comp_4bit; ARCHITECTURE comportamental OF comp_4bit IS PROCESS (a, b) VARIABLE var_agtb: BIT := '0'; VARIABLE var_altb: BIT := '0'; VARIABLE var_aeqtb: BIT := '0'; L1: FOR i IN 3 DOWNTO 0 LOOP IF ((a(i) = '1') and (b(i) = '0')) THEN var_agtb := '1'; ELSE var_agtb := '0'; END IF; IF ((a(i) = '0') and (b(i) = '1')) THEN var_altb := '1'; ELSE var_altb := '0'; END IF; IF (a(i) = b(i)) THEN var_aeqtb := '1'; ELSE var_aeqtb := '0'; END IF; END LOOP L1; agtb <= var_agtb; altb <= var_altb; aeqtb <= var_aeqtb; END PROCESS; END comportamental; Test del comparador. ENTITY comp_test IS

7 END comp_test; ARCHITECTURE test_flujo OF comp_test IS COMPONENT comp_4bit PORT ( a, b: IN BIT_VECTOR (3 DOWNTO 0); agtb, altb, aeqtb: OUT BIT); END COMPONENT; FOR I: comp_4bit USE ENTITY WORK.comp_4bit (comportamental); SIGNAL a, b: BIT_VECTOR (3 DOWNTO 0); SIGNAL agtb, altb, aeqtb: BIT; I: comp_4bit PORT MAP (a, b, agtb, altb, aeqtb); a <= "0000", "0001" AFTER 5 ns, "0010" AFTER 10 ns, "0011" AFTER 15 ns, "0100" AFTER 20 ns, "0101" AFTER 25 ns, "0110" AFTER 30 ns, "0111" AFTER 35 ns, "1000" AFTER 40 ns, "1001" AFTER 45 ns, "1010" AFTER 50 ns, "1011" AFTER 55 ns, "1100" AFTER 60 ns, "1101" AFTER 65 ns, "1110" AFTER 70 ns, "1111" AFTER 75 ns, "0000" AFTER 80 ns; b <= "0001", "0000" AFTER 5 ns, "0010" AFTER 10 ns, "0011" AFTER 15 ns, "0001" AFTER 20 ns, "0100" AFTER 25 ns, "0110" AFTER 30 ns, "1111" AFTER 35 ns, "0110" AFTER 40 ns, "1000" AFTER 45 ns, "1011" AFTER 50 ns, "1011" AFTER 55 ns, "1100" AFTER 60 ns, "1101" AFTER 65 ns, "0110" AFTER 70 ns, "1101" AFTER 75 ns, "0000" AFTER 80 ns; END test_flujo; NOTA: En las formas de onda que el simulador nos ofrece podemos observar que, por ejemplo ante las entradas a = 0100, b = 0001 la salida del sistema es a < b, no a > b. Este error se repite en la misma dirección y a la inversa (a > b cuando debería ser a < b) en diferentes ejemplos de entrada y salida. A que se debe el fallo de comparación? Cómo lo podríamos corregir?

GUIA DEL SIMULADOR VERIBEST

GUIA DEL SIMULADOR VERIBEST GUIA DEL SIMULADOR VERIBEST 1 GUIA del SIMULADOR VHDL de VERIBEST El objetivo de esta guía es facilitar un primer contacto con el uso del simulador de VHDL de Veribest. La utilización de los comandos y

Más detalles

Tutorial de VHDL: Contadores y Simulación

Tutorial de VHDL: Contadores y Simulación Tutorial de VHDL: Contadores y Simulación Importante: -Verifique la instalación del Webpack 6.0 y el Modelsim XE 6.0 SE. Ambos programas se pueden bajar desde www.xilinx.com. -Verifique también la licencia

Más detalles

GUÍA PRÁCTICA DEL SOFTWARE ACTIVE-HDL.

GUÍA PRÁCTICA DEL SOFTWARE ACTIVE-HDL. 24/9/2013 ÁREA DE TECNOLOGÍA ELECTRÓNICA UBU GUÍA PRÁCTICA DEL SOFTWARE ACTIVE-HDL. Manual de Inicio Miguel Ángel Lozano Pérez Contenido 1.1 GUÍA DE SOFTWARE... 3 1.1.1 Primer paso: crear un diseño nuevo...

Más detalles

VHDL. Lenguaje de descripción hardware Estructura Básica de diseño

VHDL. Lenguaje de descripción hardware Estructura Básica de diseño VHDL. Lenguaje de descripción hardware Estructura Básica de diseño 2007 A.G.O. All Rights Reserved Estructura de un diseño en VHDL LIBRARY declaraciones VHDL estructura básica ENTITY caja negra ARCHITECTURE

Más detalles

6. Codificadores. Electrónica Digital. Tema

6. Codificadores. Electrónica Digital. Tema 6. Codificadores Un codificador realiza la función inversa al decodificador, es decir, al activarse una de las entradas, en la salida aparece la combinación binaria correspondiente al número decimal activado

Más detalles

Tutorial de ModelSim PE Student Edition

Tutorial de ModelSim PE Student Edition Tutorial de ModelSim PE Student Edition Instalación Ir a http://portal.model.com/modelsim/downloads/license_agreement_form_gen.asp Rellenar los datos y selecionar Request Download Seleccionar el enlace

Más detalles

Integración de Toolchain sobre IDE gráfico basado en Eclipse

Integración de Toolchain sobre IDE gráfico basado en Eclipse Integración de Toolchain sobre IDE gráfico basado en Eclipse Objetivos Integrar un toolchain sobre un IDE gráfico basado en Eclipse. Creación y compilación de un pequeño proyecto. Debug de una aplicación

Más detalles

Comparador de 1 bit Tabla de verdad y circuito lógico A B A > B A = B A < B

Comparador de 1 bit Tabla de verdad y circuito lógico A B A > B A = B A < B Comparadores Índice Descripción Comparador de 1 bit Tabla de verdad Circuito lógico Comparador de N bits Circuito comercial 74LS85 Tabla de verdad Circuito lógico Comparador 8 bits serie Comparador 16

Más detalles

Sumador completo de un bit - Versión VHDL Sintético

Sumador completo de un bit - Versión VHDL Sintético Sumador completo de un bit Versión VHDL Sintético Federico Paredes 1, Daniel Durán 1 1 Becarios de investigación Importante: Verifique la instalación del Webpack 7.1 y el Modelsim XE 7.1 SE.Ambos programas

Más detalles

PLC S7-300 PROGRAMACIÓN POR BLOQUES

PLC S7-300 PROGRAMACIÓN POR BLOQUES PROGRAMACIÓN S7-300 PLC S7-300 PROGRAMACIÓN POR BLOQUES S7-CFC Cálculo de la impedancia de un circuito monofásico Realizado por: Fecha: 18/01/2007 Versión: 1.1 Página: 0/41 Fichero: Calculo de la impedancia

Más detalles

Quartus II. Dr. Andrés David García García. Departamento de Mecatrónica. TE.1010 Sistemas Digitales

Quartus II. Dr. Andrés David García García. Departamento de Mecatrónica. TE.1010 Sistemas Digitales Quartus II Dr. Andrés David García García Departamento de Mecatrónica TE.1010 Sistemas Digitales Quartus II Ambiente gráfico: Circuitos Básicos Construcción de un HA Construcción de un FA Construcción

Más detalles

Practica No. 1 Circuitos Secuenciales

Practica No. 1 Circuitos Secuenciales Practica No. 1 Circuitos Secuenciales Objetivo: Conocer la estructura y características de la tarjeta de dispositivos lógicos programables TerasIC proporcionada a los alumnos, el software de operación

Más detalles

Cómo compilar un programa utilizando el Digital Visual Fortran Compiler? Seminario de Computación 2009 Juan Ruiz y Carlos Zotelo

Cómo compilar un programa utilizando el Digital Visual Fortran Compiler? Seminario de Computación 2009 Juan Ruiz y Carlos Zotelo Cómo compilar un programa utilizando el Digital Visual Fortran Compiler? Seminario de Computación 2009 Juan Ruiz y Carlos Zotelo Pantalla inicial del Developer Studio. >inicio > todos los programa > Visual

Más detalles

UNIVERSIDAD CARLOS III DE MADRID. Ejercicios de VHDL. Circuitos Integrados y Microelectrónica. Luis Entrena. Celia López.

UNIVERSIDAD CARLOS III DE MADRID. Ejercicios de VHDL. Circuitos Integrados y Microelectrónica. Luis Entrena. Celia López. UNIVERSIDAD CARLOS III DE MADRID Ejercicios de VHDL Circuitos Integrados y Microelectrónica Luis Entrena Celia López Mario García Enrique San Millán Marta Portela Almudena Lindoso Problema 1 Se pretende

Más detalles

I. Cómo Crear una Nueva Cuenta de Mail. II. Cómo hacer una Copia/Respaldo de Mail. III. Cómo Eliminar Cuenta de Mail. Manual Mail MAC.

I. Cómo Crear una Nueva Cuenta de Mail. II. Cómo hacer una Copia/Respaldo de Mail. III. Cómo Eliminar Cuenta de Mail. Manual Mail MAC. I. Cómo Crear una Nueva Cuenta de Mail. II. Cómo hacer una Copia/Respaldo de Mail. III. Cómo Eliminar Cuenta de Mail. Manual Mail MAC. Cómo Crear una Nueva Cuenta de Mail. Manual Mail MAC. 1.- Una vez

Más detalles

La última versión disponible cuando se redactó este manual era la 5 Beta (versión ), y sobre ella versa este manual.

La última versión disponible cuando se redactó este manual era la 5 Beta (versión ), y sobre ella versa este manual. Manual de Dev-C++ 4.9.9.2 Página 1 de 11 Introducción Dev-C++ es un IDE (entorno de desarrollo integrado) que facilita herramientas para la creación y depuración de programas en C y en C++. Además, la

Más detalles

4.5. Procedimiento de síntesis

4.5. Procedimiento de síntesis 4.5. Procedimiento de síntesis En este apartado se resumen los pasos a seguir para completar la implementación de un sistema digital en un dispositivo programable: descripción del sistema mediante uno

Más detalles

Entorno de Programación Visual C++ 6.0

Entorno de Programación Visual C++ 6.0 Entorno de Programación Visual C++ 6.0 Informática II Fundamentos de Programación 18 de Febrero de 2002 1 Visual C++ 6.0 Es un IDE (Entorno de desarrollo integrado). Tiene editor, compilador, enlazador,

Más detalles

Introducción al VHDL

Introducción al VHDL Introducción al VHDL Curso de Diseño de Circuitos y Sistemas Electrónicos - Grupo 43 Giancarlo Sportelli Biomedical Image Technologies - Departamento de Ingeniería Electrónica E.T.S.I. de Telecomunicación

Más detalles

Sesión 1: Introducción al lenguaje VHDL. S1 1 Laboratorio de Estructura de Computadores Curso 04 / 05

Sesión 1: Introducción al lenguaje VHDL. S1 1 Laboratorio de Estructura de Computadores Curso 04 / 05 Sesión 1: Introducción al lenguaje VHDL S1 1 AREAS DE APLICACION DE VHDL Síntesis i1 suma Documentación Diagnosis de Fallos i1 i2 - Circuito semisumador Componentes: puerta AND puerta OR puerta XOR......

Más detalles

Sistemas Electrónicos Digitales. PRACTICA nº 3

Sistemas Electrónicos Digitales. PRACTICA nº 3 PRACTICA nº 3 Diseño top-down estructural y síntesis de un procesador dedicado elemental para resolver el cálculo del máximo común divisor (MCD) de dos números sin signo Objetivos: Análisis, diseño y síntesis

Más detalles

Guardar y abrir documentos (I)

Guardar y abrir documentos (I) Guardar y abrir documentos (I) Guardar. Guardar como Materia: Computación Puedes utilizar los comandos Guardar y Guardar como... del Botón Office (o el icono de la barra de acceso rápido) para guardar

Más detalles

Master Universitario de Automatización de Procesos Industriales DEPARTAMENTO DE ELECTRÓNICA T3 ENTORNO VIJEO CITECT CONFIGURACIÓN VIJEO CITECT BÁSICA

Master Universitario de Automatización de Procesos Industriales DEPARTAMENTO DE ELECTRÓNICA T3 ENTORNO VIJEO CITECT CONFIGURACIÓN VIJEO CITECT BÁSICA Master Universitario de Automatización de Procesos Industriales DEPARTAMENTO DE ELECTRÓNICA T3 ENTORNO VIJEO CITECT CONFIGURACIÓN VIJEO CITECT BÁSICA En esta parte vamos a ver como se configuran las opciones

Más detalles

Sistemas Electrónicos Digitales. PRACTICA nº 8

Sistemas Electrónicos Digitales. PRACTICA nº 8 PRACTICA nº 8 Diseño de subsistemas aritméticos. Síntesis sobre dispositivos FPGA. Síntesis estructural a partir de la descripción VHDL a nivel RTL de la estructura. Síntesis a partir de la descripción

Más detalles

Elementos esenciales de Word

Elementos esenciales de Word Word 2013 Elementos esenciales de Word Área académica de Informática 2015 Elementos esenciales de Word Iniciar Microsoft Word 2013 Para entrar en Microsoft Word 2013 Haz clic en el botón Inicio para ir

Más detalles

PRÁCTICA: LENGUAJE VHDL

PRÁCTICA: LENGUAJE VHDL PRÁCTICA: LENGUAJE Introducción Los lenguajes permiten manejar mejor grandes tamaños Los lenguajes son más flexibles que las tablas Los lenguajes son légibles por las máquinas más fácilmente que los gráficos

Más detalles

VHDL. Carlos Andrés Luna Vázquez. Lección 5. Sentencias concurrentes

VHDL. Carlos Andrés Luna Vázquez. Lección 5. Sentencias concurrentes VHDL Carlos Andrés Luna Vázquez Lección 5 Sentencias concurrentes Índice Introducción Niveles de abstracción del modelado con HDL Estilos descriptivos del modelado con HDL Ventajas y limitaciones de los

Más detalles

CIRCUITOS MULTIPLEXORES Y DEMULTIPLEXORES

CIRCUITOS MULTIPLEXORES Y DEMULTIPLEXORES Oscar Ignacio Botero Henao. CIRCUITOS MULTIPLEXORES Y DEMULTIPLEXORES MULTIPLEXOR (MUX) Un Multiplexor (MUX) es un circuito combinacional al que entran varios canales de datos, y sólo salen los datos del

Más detalles

VB - Access Manual Visual Basic para Aplicaciones del Access 2000

VB - Access Manual Visual Basic para Aplicaciones del Access 2000 VB - Access 2000 1 Manual Visual Basic para Aplicaciones del Access 2000 VB - Access 2000 2 Índice Antes de empezar... 3 1 Fundamentos de VBA... 5 Autoevaluación 1... 47 Soluciones 1... 50 2 Introducción

Más detalles

Arquitectura de Computadoras Practica No. 1 Circuitos Secuenciales

Arquitectura de Computadoras Practica No. 1 Circuitos Secuenciales Arquitectura de Computadoras Practica No. 1 Circuitos Secuenciales Objetivo: Conocer la estructura y características de la tarjeta de los dispositivos lógicos programables que se dispone en el laboratorio,

Más detalles

Sistemas Electrónicos Digitales Curso de adaptación al Grado

Sistemas Electrónicos Digitales Curso de adaptación al Grado Práctica Práctica 2 Sistemas Electrónicos Digitales Curso de adaptación al Grado Sistemas combinacionales con VHDL Universidad de Alicante Ángel Grediaga 2 Índice INTRODUCCIÓN... 3 2 CIRCUITOS COMBINACIONALES...

Más detalles

ENTORNO DE DESARROLLO Y COMPILACIÓN DE PELLES C

ENTORNO DE DESARROLLO Y COMPILACIÓN DE PELLES C 2010 UNAN LEON Departamento de Computación Ing. En Sistemas Sabatino Autor: Ing.Karina Esquivel Alvarado. Asignatura: Programación II. ENTORNO DE DESARROLLO Y COMPILACIÓN DE PELLES C OBJETIVOS: Conocer

Más detalles

DISEÑO DE CIRCUITOS SECUENCIALES

DISEÑO DE CIRCUITOS SECUENCIALES Sistemas Electrónicos y Automáticos PRÁCTICA 3 DISEÑO DE CIRCUITOS SECUENCIALES 1. OBJETIVO DE LA PRÁCTICA. El objetivo de la presente práctica consiste en modelar el comportamiento de un sistema secuencial

Más detalles

Ejemplo básico de descripción VHDL

Ejemplo básico de descripción VHDL Ejemplo básico de descripción VHDL Describir en VHDL un circuito que multiplexe dos líneas (a y b) de un bit, a una sola línea (salida) también de un bit; la señal selec sirve para indicar que a la salida

Más detalles

4. SUMADORES EN BINARIO PURO (I)

4. SUMADORES EN BINARIO PURO (I) TEMA 3: SISTEMAS ARITMÉTICOS Introducción y objetivos (3). Representación y codificación de la información (4-7) 2. Sistemas numéricos posicionales. Binario, hexadecimal, octal, y BCD. (8-33) 3. Números

Más detalles

Índice de contenido Crear un tema nuevo...3 Editar un tema...6 Insertar diferentes elementos en el body...7 Insertar una imagen...

Índice de contenido Crear un tema nuevo...3 Editar un tema...6 Insertar diferentes elementos en el body...7 Insertar una imagen... 1 Manual de foros Índice de contenido Crear un tema nuevo...3 Editar un tema...6 Insertar diferentes elementos en el body...7 Insertar una imagen...7 Insertar una imagen que se abra en tamaño original...12

Más detalles

Para la configuración del Certificado Digital en Outlook 2002 deberá seguir los siguientes pasos:

Para la configuración del Certificado Digital en Outlook 2002 deberá seguir los siguientes pasos: Para la configuración del Certificado Digital en Outlook 2002 deberá seguir los siguientes pasos: Una vez abierto el Outlook, en la barra de menú seleccionar Herramientas - > Opciones. Se abrirá la pantalla

Más detalles

MANUAL WinPoET 1/31. MANUAL WinPoET

MANUAL WinPoET 1/31. MANUAL WinPoET 1/31 MANUAL WinPoET Mayo 2006 1/31 INDICE 1. Introducción... 2 2. Windows 2000, 98 y ME - Instalación y configuración del WinPoET... 3 3. Windows XP Configuración de la conexión... 10 4. Windows XP - Comprobación

Más detalles

VHDL Y FPGA LENGUAJE VHDL

VHDL Y FPGA LENGUAJE VHDL VHDL Y FPGA LENGUAJE VHDL VHDL es un lenguaje de alto nivel que describe todas las características de circuitos electrónicos digitales de variada complejidad. El significado de las siglas VHDL es V de

Más detalles

Cómo transformar un documento de Word en una presentación de PowerPoint

Cómo transformar un documento de Word en una presentación de PowerPoint Cómo transformar un documento de Word en una presentación de PowerPoint www.funcionarioseficientes.es 1 Índice Pág 1) Establecemos el inicio y fin de cada diapositiva en nuestro documento de Word.... 1

Más detalles

INSTITUCION EDUCATIVA MANUEL ANGEL ANACHURY AREA: TECNOLOGIA E INFORMATICA

INSTITUCION EDUCATIVA MANUEL ANGEL ANACHURY AREA: TECNOLOGIA E INFORMATICA BASES DE DATOS (ACCES 2007) OBJETIVO: Conocer el concepto de bases de datos y su funcionalidad además de crear destrezas en su creación y manipulación. Elementos básicos de Access 2007 Vamos a ver cuáles

Más detalles

Uso e integración de QT sobre Eclipse para equipos embebidos

Uso e integración de QT sobre Eclipse para equipos embebidos Uso e integración de QT sobre Eclipse para equipos embebidos Objetivos Integrar el Plugin de QT sobre un IDE gráfico basado en Eclipse. Integración del Toolchain de ARM Requisitos Toolchain para cros-compilación.

Más detalles

Laboratorio. Instalación de Visual Studio Community. Back To Basics Instalando Visual Studio Community. Versión: 1.0.

Laboratorio. Instalación de Visual Studio Community. Back To Basics Instalando Visual Studio Community. Versión: 1.0. Laboratorio Instalación de Visual Studio Community Versión: 1.0.0 Enero de 2016 Página 1 de 19 informes@ticapacitacion.com http://ticapacitacion.com CONTENIDO INTRODUCCIÓN EJERCICIO 1: INSTALANDO VISUAL

Más detalles

Manual de uso de Editor V.

Manual de uso de Editor V. Manual de uso de Editor V. Instalar el programa Se extrae el programa del fichero.rar y ya podemos empezar a trabajar con él. Para poder utilizar las entrevistas con el programa EditorV es necesario que

Más detalles

INFORMÁTICA E INTERNET MEDIO TEMA 8: WINDOWS (II) Profesor José Antonio Cotanda Rucio

INFORMÁTICA E INTERNET MEDIO TEMA 8: WINDOWS (II) Profesor José Antonio Cotanda Rucio INFORMÁTICA E INTERNET MEDIO TEMA 8: WINDOWS (II) Profesor José Antonio Cotanda Rucio El escritorio Podemos encontrar distintos iconos, como la papelera de reciclaje, Mi PC, etc. Contiene la barra de tareas,

Más detalles

Instalación de Dev-Pascal en entornos Windows

Instalación de Dev-Pascal en entornos Windows Instalación de Dev-Pascal en entornos Windows 1.- El software se puede descargar de la web: http://www.bloodshed.net/devpascal.html Como se puede observar en la página web de Bloodshed, el fichero ocupa

Más detalles

5. Decodificadores. Salida _1= A A A A = m = M ... Electrónica Digital. Tema

5. Decodificadores. Salida _1= A A A A = m = M ... Electrónica Digital. Tema 5. Decodificadores La función de un decodificador es la siguiente: ante una combinación concreta binaria de entrada (correspondiente a una combinación de algún código binario), activar una salida correspondiente

Más detalles

GUÍA PRACTICA 0. Uso del IDE BASCOM

GUÍA PRACTICA 0. Uso del IDE BASCOM UNIVERSIDAD TECNOLÓGICA DE EL SALVADOR FACULTAD DE INFORMÁTICA Y CIENCIAS APLICADAS ESCUELA DE INFORMÁTICA CÁTEDRA DE ELECTRÓNICA MICROPROCESADORES ING. OTONIEL FLORES GUÍA PRACTICA 0. Uso del IDE BASCOM

Más detalles

Tema 3 - Modelado con HDL a nivel RTL

Tema 3 - Modelado con HDL a nivel RTL - Modelado con HDL a nivel RTL Eduardo Rodríguez Martínez Departamento de Electrónica División de Ciencias Básicas e Ingeniería Universidad Autónoma Metropolitana Unidad Azcapotzalco Email: erm@correo.azc.uam.mx

Más detalles

Tutorial Blender. Tutorial Blender Simulaciones Físicas Crear una capa 1

Tutorial Blender. Tutorial Blender Simulaciones Físicas Crear una capa 1 Tutorial Blender Simulaciones Físicas Crear una capa 1 Tutorial Blender Tutorial Blender Simulaciones Físicas Crear una capa 2 Tutorial Blender Es un tutorial que está creado con fines didácticos, trabaja

Más detalles

Recursos y Metodologías. Función. Programas

Recursos y Metodologías. Función. Programas Recursos y Metodologías Sistema Digital Estructura { + Función Descripción Datos R. Cómputo R. Almacenamiento R. Conexionado { Comandos Implementación Full-custom Semi-custom Gate Arrays Programas Lenguaje

Más detalles

Guía de uso del programa AVR-Studio

Guía de uso del programa AVR-Studio Guía de uso del programa AVR-Studio El entorno de desarrollo AVR-STUDIO nos permite cargar, ensamblar y depurar los programas que escribimos en lenguaje ensamblador. Los pasos para crear un proyecto nuevo

Más detalles

Manual Básico Particle Illusion 3

Manual Básico Particle Illusion 3 Manual Básico Particle Illusion 3 Debemos comenzar mencionando que Particle Illusion dispone de varios softwares a su disposición: Particle Illusion 3. Particle Illusion es un software independiente de

Más detalles

Manual de Recurso Multimedia. C/ Salado, 11. Local Sevilla

Manual de Recurso Multimedia.  C/ Salado, 11. Local Sevilla Manual de Recurso Multimedia info@sagasoluciones.com C/ Salado, 11. Local 10. 41011 Sevilla +34 954 45 72 75 MANUAL RECURSO MULTIMEDIA CONTROL DEL DOCUMENTOS Realizado (28/12/2009) Inmaculada Peña Ruiz

Más detalles

EJERCICIOS DE PUNTOS 1.1 Y 1.2. Ciudadano digital. Depto. de Ciencias de la Computación.

EJERCICIOS DE PUNTOS 1.1 Y 1.2. Ciudadano digital. Depto. de Ciencias de la Computación. EJERCICIOS DE PUNTOS 11 Y 12 Ciudadano digital Depto de Ciencias de la Computación http://ecdlccuahes Ciudadano digital Depto de Ciencias de la Computación http://ecdlccuahes Ejercicios de puntos 11 y

Más detalles

TUTORIAL BÁSICO DEL PROGRAMA XNVIEW

TUTORIAL BÁSICO DEL PROGRAMA XNVIEW ASESORES DE NNTT TUTORIAL BÁSICO DEL PROGRAMA XNVIEW Versión 1.92 (4-2-2008) Nota: es perfectamente válido para versiones anteriores. TUTORIAL BÁSICO DEL PROGRAMA XNVIEW... 2 INSTALACIÓN DEL PROGRAMA...

Más detalles

Al entrar en Excel nos aparecerá la siguiente ventana:

Al entrar en Excel nos aparecerá la siguiente ventana: ELEMENTOS DE LA PANTALLA DE EXCEL. Al entrar en Excel nos aparecerá la siguiente ventana: 1 2 3 4 8 9 10 5 6 7 11 15 12 14 13 En la que se distinguen las siguientes partes: 1. Barra de Inicio Rápido (Nueva

Más detalles

Sistemas Digitales - Examen temas 1, 2 y 3 - (6 de Abril 2016)

Sistemas Digitales - Examen temas 1, 2 y 3 - (6 de Abril 2016) Sistemas Digitales - Examen temas, 2 y 3 - (6 de Abril 206) EXAMEN RESUELTO Problema-. Modelo-A (Calificación 0 puntos) Se quiere diseñar un circuito digital, tal que, dado un número en código octal de

Más detalles

Calc I Primeros pasos: Entorno de trabajo, celdas y. rangos

Calc I Primeros pasos: Entorno de trabajo, celdas y. rangos Calc I : Entorno de trabajo, celdas y rangos 1. Entorno de trabajo Entre la amplia variedad de software existente, las aplicaciones de ámbito general que más interesan a nivel del ámbito científico son

Más detalles

PRÁCTICA 4: INTRODUCCIÓN A LA SIMULACIÓN Y A LA

PRÁCTICA 4: INTRODUCCIÓN A LA SIMULACIÓN Y A LA PRÁCTICA 4: INTRODUCCIÓN A LA SIMULACIÓN Y A LA IMPLANTACIÓN FÍSICA CON QUARTUS II V. 9.0 OBJETIVOS Al finalizar la práctica el alumno ha de ser capaz de: Simular un circuito usando la herramienta Quartus

Más detalles

Manual de referencia de la tarjeta BASYS 2

Manual de referencia de la tarjeta BASYS 2 Universidad Politécnica de Madrid ETSI de Telecomunicación Departamento de Ingeniería Electrónica Circuitos Electrónicos (Plan 2010) Curso 2012-2013 Manual de referencia de la tarjeta BASYS 2 Álvaro de

Más detalles

GCC para plataforma Windows

GCC para plataforma Windows GCC para plataforma Windows LINKS para bajar las herramientas GCC para Windows, disponible en: http://sourceforge.net/projects/mingw/ Editor de texto, disponible en http://www.editpadpro.com/. Este editor

Más detalles

CREACION DE MACROS. Lo primero será realizar la hoja de calculo, como por ejemplo la siguiente,

CREACION DE MACROS. Lo primero será realizar la hoja de calculo, como por ejemplo la siguiente, CREACION DE MACROS Vamos a realizar un ejercicio donde a partir de una hoja de calculo, podamos crear un gráfico, mediante una macro que previamente habremos grabado. Lo primero será realizar la hoja de

Más detalles

CAPITULO 5 GENERADOR DIGITAL DE TREN DE PULSOS PROGRAMABLE

CAPITULO 5 GENERADOR DIGITAL DE TREN DE PULSOS PROGRAMABLE CAPÍTULO B. GENERADOR DIGITAL DE TREN DE PULSOS PROGRAMABLE CAPITULO 5 GENERADOR DIGITAL DE TREN DE PULSOS PROGRAMABLE CONTENIDO 5.1 Introducción. 5.2 Diseño de un generador digital de tren de pulsos programable

Más detalles

CAPÍTULO 3. OPERACIONES CON ARCHIVOS

CAPÍTULO 3. OPERACIONES CON ARCHIVOS CAPÍTULO 3. OPERACIONES CON ARCHIVOS Vamos a ver las operaciones referentes a archivos como abrir, nuevo, guardar, guardar como y cerrar para poder manejarlas sin problemas a la hora de trabajar con libros

Más detalles

Unidad 2. Crear una Presentación (I)

Unidad 2. Crear una Presentación (I) Unidad 2. Crear una Presentación (I) A continuación veremos cómo crear una Presentación. PowerPoint nos permite crear una presentación de formas distintas, bien a través del asistente que es muy útil cuando

Más detalles

ÍNDICE. 1- Copias de seguridad en Windows Cobian backup...9

ÍNDICE. 1- Copias de seguridad en Windows Cobian backup...9 ÍNDICE 1- Copias de seguridad en Windows...2 1.1- Otra forma...8 2- Cobian backup...9 Página 1 COPIAS DE SEGURIDAD EN WINDOWS Para hacer una copia de seguridad debemos ir a: inicio accesorios - herramientas

Más detalles

1. Entre en la web del Colegio (http://www.colegioesclavassevilla.org/web/) y acceda a la plataforma desde el icono:

1. Entre en la web del Colegio (http://www.colegioesclavassevilla.org/web/) y acceda a la plataforma desde el icono: 1 Les damos la bienvenida a la nueva herramienta digital que permitirá mejorar la fluidez y efectividad en la comunicación familia-colegio. Les recordamos que la plataforma está optimizada para el navegador

Más detalles

Manual de configuración de wifilocal Windows XP Antena externa Ubiquiti Nanostation 2 9 de Septiembre de 2010

Manual de configuración de wifilocal Windows XP Antena externa Ubiquiti Nanostation 2 9 de Septiembre de 2010 Manual de configuración de wifilocal Windows XP Antena externa Ubiquiti Nanostation 2 9 de Septiembre de 2010 1. Configuración del adaptador de red para acceder a la configuración de la antena... 2 2.

Más detalles

Cómo utilizar eficazmente el programador de tareas.

Cómo utilizar eficazmente el programador de tareas. Cómo utilizar eficazmente el programador de tareas. 1º) Haremos un clic izquierdo sobre el botón Inicio de la esquina inferior izquierda de Windows y después haremos otro clic izquierdo sucesivamente en:

Más detalles

INSTALACIÓN DE VISUAL STUDIO 2010

INSTALACIÓN DE VISUAL STUDIO 2010 FUNDAMENTOS DE LA PROGRAMACIÓN. INGENIERÍA DE LA SALUD ANEXO Entorno de trabajo Versión: 1.1 INSTALACIÓN DE VISUAL STUDIO 2010 En la página web se puede descargar un vídeo que indica los pasos para descargar

Más detalles

DIBUJAR UN RECORRIDO EN kml PARA BMS ESPAÑA

DIBUJAR UN RECORRIDO EN kml PARA BMS ESPAÑA DIBUJAR UN RECORRIDO EN kml PARA BMS ESPAÑA Para dar de alta un recorrido en BMS España, debemos dibujarlo sobre un mapa, de forma que quede explícito su trazado. Para ello se ha elegido la herramienta

Más detalles

Objetivo: poner al alumno en contacto con el Sistema Operativo Windows y capacitarlo en el mantenimiento de la información.

Objetivo: poner al alumno en contacto con el Sistema Operativo Windows y capacitarlo en el mantenimiento de la información. CAPÍTULO 2. MANTENIMIENTO DE LA INFORMACIÓN. Objetivo: poner al alumno en contacto con el Sistema Operativo Windows y capacitarlo en el mantenimiento de la información. 2.1. ENTORNOS DE TRABAJO. Cuando

Más detalles

Lab 6. Cuádruple registro de 16 bits y 3 puertos E/S

Lab 6. Cuádruple registro de 16 bits y 3 puertos E/S Lab 6 Cuádruple registro de 16 bits y 3 puertos E/S Objetivo: Codificar en VHDL y verificar el funcionamiento de un registro de cuatro palabras y de las operaciones de lectura y escritura sobre el mismo.

Más detalles

PARTE 1: Introducción

PARTE 1: Introducción POWERPOINT PARTE 1: Introducción Para iniciar el programa de PowerPoint podemos hacerlo: Desde el icono del escritorio Desde el botón Inicio Cuando abrimos el programa se nos abre una nueva ventana en

Más detalles

SESIÓN 7 MANEJO DE DOCUMENTOS.

SESIÓN 7 MANEJO DE DOCUMENTOS. SESIÓN 7 MANEJO DE DOCUMENTOS. I. CONTENIDOS: 1. Abrir documentos nuevos o ya existentes. 2. Guardar y cerrar documentos. 3. Guardar automáticamente documentos. 4. Cambiar el directorio por defecto. 5.

Más detalles

MODELO 347. Si elegimos el modelo 347, la pantalla que se nos muestra es:

MODELO 347. Si elegimos el modelo 347, la pantalla que se nos muestra es: MODELO 347 Desde Rayuela podremos generar un fichero en formato.pdf con el resumen de los pagos a proveedores por más de 3.005,06 de un ejercicio económico (año fiscal), para imprimirlo en papel. Lo haremos

Más detalles

Funciones básicas del depurador

Funciones básicas del depurador Funciones básicas del depurador Con frecuencia, los alumnos piensan que cuando su programa no tiene errores de compilación (está correctamente escrito) entonces ya es correcto. Muchas veces esto no es

Más detalles

Práctica ENS:Ensamblar y depurar

Práctica ENS:Ensamblar y depurar Práctica ENS:Ensamblar y depurar 1. Objetivos Familiarizarse con el manejo básico de la herramienta µvision3 con programas escritos en lenguaje ensamblador. Depurar los programas escritos en lenguaje ensamblador

Más detalles

Tecnologías de la Información y la Comunicación I

Tecnologías de la Información y la Comunicación I Tecnologías de la Información y la Comunicación I Bloque 2: Administración de sistemas operativos Práctica 8: Instalación de Windows y Linux Objetivos: Utilizar una máquina virtual para simular nuestro

Más detalles

Arquitectura de Computadores. Práctica 1: Diseño Hardware de Procesadores. Práctica 1/1

Arquitectura de Computadores. Práctica 1: Diseño Hardware de Procesadores. Práctica 1/1 Arquitectura de Computadores Práctica 1: Diseño Hardware de Procesadores Práctica 1/1 Práctica 1 Ejercicio 1: Modelado VHDL de la etapa IF en el procesador DLX32 Ejercicio 2: Ampliación Y Especialización

Más detalles

Caja Servicio a Domicilio Restaurantes. Crear Mi Primera Orden

Caja Servicio a Domicilio Restaurantes. Crear Mi Primera Orden Caja Servicio a Domicilio Restaurantes Crear Mi Primera Orden 1 Cliente Al ingresar como cajero en el módulo de caja servicio a domicilio nos aparecerá una pantalla como la siguiente: Pasos para realizar

Más detalles

Manual de Usuario. Manual de Usuario. Elaborado: IdeaSys, 03 de Noviembre de 2014 Departamento de documentación

Manual de Usuario. Manual de Usuario. Elaborado: IdeaSys, 03 de Noviembre de 2014 Departamento de documentación Manual de Usuario Elaborado: IdeaSys, 03 de Noviembre de 2014 Departamento de documentación Copyright (c) 2014 1 Índice Contenido Índice... 2 Ingresar al Sistema... 4 Sistema... 6 Cerrar Módulo... 6 Selecciona

Más detalles

EXTENSIÓN: Kosmo Desktop v2.0. Origen de datos KML

EXTENSIÓN: Kosmo Desktop v2.0. Origen de datos KML EXTENSIÓN: Kosmo Desktop v2.0 Origen de datos KML Versión 1.0 19/11/2009 KOSMO DESKTOP v2.0 2 0 Licencia Puede visualizar la licencia completa en castellano en el siguiente enlace: http://creativecommons.org/licenses/by-sa/3.0/es/legalcode.es

Más detalles

Cómo crear y ejecutar macros en

Cómo crear y ejecutar macros en Cómo crear y ejecutar macros en Writer Cómo crear y ejecutar macros en Writer 1 Cómo crear y ejecutar macros en Writer Índice Pág Qué son las macros en Writer?... 1 Cómo grabar macros en Writer... 1 Asignamos

Más detalles

LABORATORIO DE ARQUITECTURA DE COMPUTADORES. I. T. I. SISTEMAS / GESTIÓN GUÍA DEL ALUMNO

LABORATORIO DE ARQUITECTURA DE COMPUTADORES. I. T. I. SISTEMAS / GESTIÓN GUÍA DEL ALUMNO LABORATORIO DE ARQUITECTURA DE COMPUTADORES. I. T. I. SISTEMAS / GESTIÓN GUÍA DEL ALUMNO Práctica 3: Memorias Objetivos Comprender qué es y cómo funciona un banco de registros Comprender cómo se realiza

Más detalles

EJERCICIO 9: Marcos (Frames)

EJERCICIO 9: Marcos (Frames) EJERCICIO 9: Marcos (Frames) El primer paso será iniciar una nueva página web, a esta página procederemos a crear una distribución de marcos. Para ello primero seleccionaremos la caja de herramientas de

Más detalles

CURSO BÁSICO DE IBER

CURSO BÁSICO DE IBER CURSO BÁSICO DE IBER 2.- SIMULACIÓN CON IBER 2.4 COMO CREAR UNA GEOMETRÍA. 2.4 CREAR UNA GEOMETRÍA (PARTE 1) En este guión se va a explicar cómo crear una geometría desde IBER. Una vez que abrimos el programa

Más detalles

Microsoft Word. Microsoft Word 2013 SALOMÓN CCANCE. Manual de Referencia para usuarios. Salomón Ccance CCANCE WEBSITE

Microsoft Word. Microsoft Word 2013 SALOMÓN CCANCE. Manual de Referencia para usuarios. Salomón Ccance CCANCE WEBSITE Microsoft Word SALOMÓN CCANCE Microsoft Word 2013 Manual de Referencia para usuarios Salomón Ccance CCANCE WEBSITE UNIDAD 4: GUARDAR Y ABRIR DOCUMENTOS 4.1 Guardar y Guardar como Para guardar un documento

Más detalles

Manual para Usuario de Certificados Digitales Firmaprofesional: Uso de Certificados con Microsoft Outlook Versión 1.0

Manual para Usuario de Certificados Digitales Firmaprofesional: Uso de Certificados con Microsoft Outlook Versión 1.0 Manual para Usuario de Certificados Digitales Firmaprofesional: Uso de Certificados con Microsoft Outlook Versión 1.0 Índice 1 INTRODUCCIÓN... 3 2 CONFIGURACIÓN CERTIFICADO EN OUTLOOK... 4 3 FIRMA DE UN

Más detalles

ASISTENTE PARA PLANTILLAS EXCEL 2003

ASISTENTE PARA PLANTILLAS EXCEL 2003 ASISTENTE PARA PLANTILLAS EXCEL 2003 Utilización del asistente mediante un ejemplo Veamos con un ejemplo muy sencillo como funciona el Asistente para Plantillas. Vamos a crear un formulario en Excel que

Más detalles

LABORATORIO DE CIRCUITOS DIGITALES (2005-II) SEGUNDA CLASE DE VHDL

LABORATORIO DE CIRCUITOS DIGITALES (2005-II) SEGUNDA CLASE DE VHDL LABORATORIO DE CIRCUITOS DIGITALES (25-II) SEGUNDA CLASE DE VHDL TIPOS y MODOS DE DATOS DESCRIPCIÓN CONCURRENTE Sentencias de asignación: with select, when - else DESCRIPCIÓN COMPORTAMENTAL Procesos asíncronos

Más detalles

Tutorial instalación del Aula Virtual Santillana en Windows: Padres Tutorial elaborado por: Ana Crisol Barceló

Tutorial instalación del Aula Virtual Santillana en Windows: Padres Tutorial elaborado por: Ana Crisol Barceló Tutorial instalación del Aula Virtual Santillana en Windows: Padres Tutorial elaborado por: Ana Crisol Barceló 1 Introducción El presente documento describe el procedimiento de instalación y puesta en

Más detalles

INTRODUCCIÓN AL SOFTWARE ISE (Integrated Software Environment) DE XILINX

INTRODUCCIÓN AL SOFTWARE ISE (Integrated Software Environment) DE XILINX INTRODUCCIÓN AL SOFTWARE ISE (Integrated Software Environment) DE XILINX Índice GUÍA DE INICIO AL SOFTWARE ISE DE XILINX... 1 1. Introducción... 1 2. Inicio del software ISE (Integrated Software Environment)

Más detalles

Guía del Entorno de Desarrollo de Java. Eclipse

Guía del Entorno de Desarrollo de Java. Eclipse Guía del Entorno de Desarrollo de Java. Eclipse Dpto. Lenguajes y Ciencias de la Computación. E.T.S.I. Informática. Universidad de Málaga Programación Orientada a Objetos Dpto. Lenguajes y Ciencias de

Más detalles

Qué es Microsoft Word?... 2 Cómo ingresar a Microsoft Word?... 2 Cómo salir de Microsoft Word?... 4

Qué es Microsoft Word?... 2 Cómo ingresar a Microsoft Word?... 2 Cómo salir de Microsoft Word?... 4 Contenido Conociendo Microsoft Office Word... 2 Qué es Microsoft Word?... 2 Cómo ingresar a Microsoft Word?... 2 Cómo salir de Microsoft Word?... 4 Trabajando con Microsoft Office Word... 5 Menú de Arranque

Más detalles

MODIFICAR TABLA DE DATOS ACCESS Manual de Referencia para usuarios. Salomón Ccance CCANCE WEBSITE

MODIFICAR TABLA DE DATOS ACCESS Manual de Referencia para usuarios. Salomón Ccance CCANCE WEBSITE MODIFICAR TABLA DE DATOS ACCESS 2010 Manual de Referencia para usuarios Salomón Ccance CCANCE WEBSITE MODIFICAR TABLA DE DATOS Aquí veremos las técnicas de edición de registros para modificar tanto la

Más detalles

VIII. Jerarquías de diseño en VHDL

VIII. Jerarquías de diseño en VHDL VIII. Jerarquías de diseño en VHDL 1 Introducción La descripción funcional describe al sistema en términos de sus operaciones. La descripción estructural especifica cómo el sistema está hecho, cuales son

Más detalles

Crear una. presentación

Crear una. presentación Presentaciones on line Seleccionar Registrarse y idioma entrar en prezi Añadir, texto, imágenes Crear una presentación Para terminar Registrarse y entrar en prezi. Lo primero que tendremos que hacer es

Más detalles

Seleccione en el escritorio el programa Sucosoft S40 y darle doble click.

Seleccione en el escritorio el programa Sucosoft S40 y darle doble click. Programación y manejo de Sucosoft S40: Cómo Programar? Seleccione en el escritorio el programa Sucosoft S40 y darle doble click. Aparece una ventana denominada administrador Sucosoft en la cual se encuentra

Más detalles