Decodificador de Direcciones de Memoria en una GAL

Tamaño: px
Comenzar la demostración a partir de la página:

Download "Decodificador de Direcciones de Memoria en una GAL"

Transcripción

1 Decodificador de Direcciones de Memoria en una GAL La decodificación de memoria es una aplicación típica de los dispositivos lógicos programables, y la siguiente describe la implementación con ABEL-HDL de tal diseño. Aquí se diseña el decodificador de memoria para el diseño del programa monitor del curso de quinto semestre de ICE sobre microprocesadores. Especificación del Diseño La figura 1 muestra el diagrama a bloques para este diseño y un bloque continuo de memoria dividido en secciones conteniendo RAM estática (SRAM), tres de E/S (dos PPI y uno de reserva llamado otro), y dos secciones de memoria no volátil EEPROM (EEPROM1 y EEPROM2). El propósito de este decodificador es el de monitorear los 4 bits (A15-A12) de mayor orden del bus de direcciones de 16 bits y seleccionar la sección correcta de memoria basándose en el valor de tales bits de direccionamiento. Para llevar al cabo dicha función, un simple decodificador con seis entradas y siete salidas se diseña para implementarse en una GAL22V10. Un segundo propósito es generar la señal de habilitación de lectura para los tres bloques de memoria. Eso lo logra combinando dos señales de habilitación de lectura; PSEN que permite leer memoria de código y RD que permite leer memoria de trabajo. Los dispositivos PPI-8255 (Interfaz Paralela Programable) reciben RD y WR de manera directa desde el microprocesador 80C31 de INTEL. Figura 1 Mapa de Memoria y Diagrama a bloques del Decodificador. La siguiente tabla resume la capacidad de cada sección, el rango de localidades de un byte y una descripción somera del dispositivo. Sección Capacidad Rango en HEX Descripción EEPROM1 2,048 bytes 0000 a 07FF Programa Monitor EEPROM2 2,048 bytes 2000 a 27FF Programa Usuario SRAM 2,048 bytes 6000 a 67FF Memoria Volátil PPI1 4 bytes 8000 a 81FF Puertos E/S PPI2 4 bytes E000 a E1FF Puertos E/S otro Por definir A000 a A1FF Expansión futura Prof. S. Saucedo 1 ICE/2007

2 La siguiente figura ofrece el único archivo fuente necesario para el diseño. MODULE DecMem TITLE 'Decodificador de Memoria' " ENTRADAS A15,A14,A13,A12,PSEN,RD pin; "SALIDAS EEPROM1,EEPROM2,SRAM,PPI1,PPI2,otro,OE pin istype 'com'; " DEFINICIONES H,L,X = 1,0,.X.; Address = [A15,A14,A13,A12, X,X,X,X, X,X,X,X, X,X,X,X]; equations!oe =!PSEN #!RD;!PPI1 = (Address >= ^h8000) & (Address <= ^h81ff);!sram = (Address >= ^h6000) & (Address <= ^h67ff);!eeprom2 = (Address >= ^h2000) & (Address <= ^h27ff);!eeprom1 = (Address <= ^h07ff);!ppi2 = (Address >= ^he000) & (Address <= ^he1ff);!otro = (Address >= ^ha000) & (Address <= ^ha1ff); test_vectors ([Address, PSEN, RD] -> [EEPROM1,EEPROM2,SRAM,PPI1,PPI2,otro, OE]) [^h0000, 0, 1] -> [ L, H, H, H, H, H, L ]; [^h2000, 1, 0] -> [ H, L, H, H, H, H, L ]; [^h4000, 0, H] -> [ H, H, H, H, H, H, L ]; [^h6000, H, L] -> [ H, H, L, H, H, H, L ]; [^h8000, H, H] -> [ H, H, H, L, H, H, H ]; [^ha000, H, H] -> [ H, H, H, H, H, L, H ]; [^hc000, H, L] -> [ H, H, H, H, H, H, L ]; [^he000, L, H] -> [ H, H, H, H, L, H, L ]; [^h0800, H, L] -> [ L, H, H, H, H, H, L ]; [^h2800, L, H] -> [ H, L, H, H, H, H, L ]; [^h4800, H, L] -> [ H, H, H, H, H, H, L ]; [^h6400, H, H] -> [ H, H, L, H, H, H, H ]; [^h8180, H, H] -> [ H, H, H, L, H, H, H ]; [^he180, H, H] -> [ H, H, H, H, L, H, H ]; [^ha040, H, H] -> [ H, H, H, H, H, L, H ]; END "Seccion de Memoria Rango de Dir. Capacidad (hex) " SRAM: FF 2KB " PPI1 8255: FF 4B " EEPROM2: FF 2KB " EEPROM1: FF 2KB " PPI2 8255: E000-E1FF 4B " otro: A000-A1FF Figura 2 Listado para definir Variables, Ecuaciones y vectores de Prueba Prof. S. Saucedo 2 ICE/2007

3 Método del Diseño La figura 3 exhibe un diagrama a bloques simplificado del decodificador de direccionamiento. El decodificador se implementa con ecuaciones empleando operadores relacionales y lógicos según se muestra en la figura 2. Una simplificación significativa se logra al agrupar los bits de direcciones en un conjunto llamado Address. Los doce bits de direccionamiento que no se usan para decodificar la dirección reciben valores de no conexión en el conjunto, indicando que la dirección en el diseño global (más allá del decodificador) contiene 16 bits, pero que los bits 0 a 11 no afectan la decodificación de tal dirección y no son monitoreados. En contraste, definiendo al conjunto como Address = [A15,A14,A13,A12] ignora la existencia de los bits de orden bajo. Especificando todas las 16 líneas de direccionamiento como miembros del conjunto Address permite una comparación completa de 16-bits de los valores de dirección contra los rangos dados arriba. Para explotar al máximo la GAL se agregó una compuerta interna AND para ahorrarse un integrado, de modo que la GAL alberga dos diseños algo independientes. Figura 3 Diagrama simplificado mostrando las dos funciones. La figura 4 ilustra la simulación del JEDEC grabado en la GAL para los quince vectores de prueba. Las variables PSEN, RD y OE son de lógica negativa, de modo que en la gráfica cuando se exhiben en bajo en realidad están en la fase activa y viceversa. El sistema mínimo puede prescindir de la EEPROM2 y la PPI2. La idea principal del desarrollo es que el estudiante pueda colocar código ejecutable en la RAM, además del de las EEPROM; y que también pueda poner datos constantes en la EEPROM2. Prof. S. Saucedo 3 ICE/2007

4 Figura 4. Simulación de los vectores de Prueba. Asignación de Pines: \ / \ / PSEN 1 24 Vcc RD 2 23 OE A !PPI2 A !SRAM A !EEPROM1 A !EEPROM !PPI !otro GND ` ' Figura 5. Asignación de terminales Diagrama general del Diseño La figura 6 exhibe al diagrama de la práctica final del curso de de Microprocesadores, aunque falta el detalle de la comunicación con la interfaz RS-232. Prof. S. Saucedo 4 ICE/2007

5 Figura 6 Sistema mínimo para probar el programa Monitor. Prof. S. Saucedo 5 ICE/2007

Registros de Tres Estados con la GAL22V10

Registros de Tres Estados con la GAL22V10 Registros de Tres Estados con la GAL22V10 Se presentan dos proyectos realizados con ABEL-HDL mediante el software de desarrollo isp LEVER starter de Lattice, ambos relacionados con salidas que pueden tomar

Más detalles

Multiplexor de 3 a 1 Cuádruple con una GAL

Multiplexor de 3 a 1 Cuádruple con una GAL Multiplexor de 3 a 1 Cuádruple con una GAL Se ilustra el diseño de un multiplexor de tres entradas a una sola salida. La multiplexión significa transmitir un número grande de entradas con información digital

Más detalles

Máquinas de Estados en la GAL22V10 Usando ABEL-HDL

Máquinas de Estados en la GAL22V10 Usando ABEL-HDL Máquinas de Estados en la GAL22V10 Usando ABEL-HDL Una Máquina de estados es un dispositivo digital que atraviesa una predeterminada secuencia de estados. Las máquinas de estados se usan típicamente para

Más detalles

Armado de un microcomputador, basado en microcontrolador 8031

Armado de un microcomputador, basado en microcontrolador 8031 Armado de un microcomputador, basado en microcontrolador 8031 Objetivos. Conocer la forma en que están interconectadas la memoria, el controlador, y el CPLD, en la tarjeta de desarrollo. Entender con detalles

Más detalles

La decodificación y el mapeo de memoria es importante porque permite conectar mas de un dispositivo al microprocesador.

La decodificación y el mapeo de memoria es importante porque permite conectar mas de un dispositivo al microprocesador. MAPEO DE MEMORIA La decodificación y el mapeo de memoria es importante porque permite conectar mas de un dispositivo al microprocesador. Estos dispositivos pueden ser memorias (ROM y/o RAM), buffer s,

Más detalles

ORGANIZACIÓN DE LA MEMORIA

ORGANIZACIÓN DE LA MEMORIA Existen dos tipos de memoria en todos los µc, memoria de programa y memoria de datos. La primera (EPROM, EEPROM, FLASH, etc) contiene los códigos de operación que se ejecutarán para seguir una secuencia

Más detalles

Memoria y Entrada/Salida Tecnología Organización - Expansión

Memoria y Entrada/Salida Tecnología Organización - Expansión Universidad Simón Bolívar Departamento de Electrónica y Circuitos EC2721 Arquitectura del Computador I Prof. Osberth De Castro Clase 05 Memoria y Entrada/Salida Tecnología Organización - Expansión La memoria

Más detalles

Cuatro Tipos de Flip-Flop en la GAL22V10

Cuatro Tipos de Flip-Flop en la GAL22V10 Cuatro Tipos de Flip-Flop en la GAL22V10 Un método para eliminar los estados transitorios inestables en el diseño de circuitos digitales secuenciales es el uso de flip-flops disparados por flanco, esto

Más detalles

Mapeo en el P 8086 de Intel

Mapeo en el P 8086 de Intel Mapeo en el P 8086 de Intel Ing. Silvia Domizi Ing. Diego Alegrecci Mapeo Microprocesador 8086 1 Introducción Mapeo Microprocesador 8086 2 Mapeo Mapear un dispositivo, es asignarle un intervalo definido

Más detalles

Para implementar una memoria de mayor capacidad se deben considerar los siguientes pasos:

Para implementar una memoria de mayor capacidad se deben considerar los siguientes pasos: UNIVERSIDAD NACIONAL AUTONOMA DE MEXICO FACULTAD DE INGENIERIA LAB. DISPOSITIVOS DE ALMACENAMIENTO Y DE E/S PREVIO #5 IMPLEMENTACION DE MEMORIAS DE MAYOR CAPACIDAD 1. Investigar los pasos que se deben

Más detalles

Práctica No. 3 Decodificación de direcciones y SRAM

Práctica No. 3 Decodificación de direcciones y SRAM Práctica No. Decodificación de direcciones y SRAM Objetivo: El alumno implementará un sistema de decodificación de memoria que permita verificar los procesos de lectura y escritura que el procesador realiza

Más detalles

Tutoría 2. Banco de memoria de 8 y 16 bits (8086)

Tutoría 2. Banco de memoria de 8 y 16 bits (8086) Tutoría 2. Banco de memoria de 8 y 16 bits (8086) RESUMEN Cuando el procesador opera en modo mínimo, éste genera las señales de control para la memoria y los dispositivos de E/S. [1, pág. 292]. Para utilizar

Más detalles

Preparación: Para el diseño secuencial será necesario que utilices una señal de reloj. Para generar este pulso de reloj, refiérete a la práctica 2.

Preparación: Para el diseño secuencial será necesario que utilices una señal de reloj. Para generar este pulso de reloj, refiérete a la práctica 2. DISEÑO DE SISTEMAS DIGITALES Tópico Práctico. No. 3 ISIS de Proteus para simulación Diseño de máquinas de estado con ABEL-HDL Dr. Juan Carlos Herrera Lozada. jlozada@ipn.mx Centro de Innovación y Desarrollo

Más detalles

Diseño Lógico en el Mundo Real. Tema V. ABEL (Advanced Boolean Equation Language) Lenguajes de programación de PLD

Diseño Lógico en el Mundo Real. Tema V. ABEL (Advanced Boolean Equation Language) Lenguajes de programación de PLD Circuitos Digitales I Tema V Lenguajes ABEL y PLAN PLDs (Programmable Logic Devices) Estándares de documentación Circuitos MSI Diseño Lógico en el Mundo Real! Circuitos con mucho más de 6 entradas No es

Más detalles

Tema V. Diseño Lógico en el Mundo Real

Tema V. Diseño Lógico en el Mundo Real Circuitos Digitales I Tema V Lenguajes ABEL y PLAN PLDs (Programmable Logic Devices) Estándares de documentación Circuitos MSI Luis Tarazona, UNEPO Barquisimeto EL-3213 Circuitos Digitales I - 2004 158

Más detalles

Las palabras clave y otros términos que se han resaltado en negrita se encuentran en el glosario final del libro.

Las palabras clave y otros términos que se han resaltado en negrita se encuentran en el glosario final del libro. AUTOTEST 669 PALABRAS CLAVE Las palabras clave y otros términos que se han resaltado en negrita se encuentran en el glosario final del libro. Bus Conjunto de interconexiones que establece la interfaz entre

Más detalles

INSTITUTO TECNOLOGICO DEL MAR, Mazatlán

INSTITUTO TECNOLOGICO DEL MAR, Mazatlán INSTITUTO TECNOLOGICO DEL MAR, Mazatlán APUNTES DE LA MATERA DE: MICROPROCESADORES I DEPARTAMENTO DE INGENIERIA ELECTRONICA PROF: ING: RUFINO J. DOMINGUEZ ARELLANO 1.1. CARACTERISTICAS DE LA FAMILIA 51

Más detalles

Lenguaje ABEL-HDL. Departamento de Electrónica. Fundación San Valero

Lenguaje ABEL-HDL. Departamento de Electrónica. Fundación San Valero Lenguaje HDL Lenguaje ABEL-HDL Departamento de Electrónica Fundación San Valero Qué es HDL? HDL es el acrónimo de Hardware Description Language (Lenguaje de Descripción de Hardware). Son lenguajes de programación

Más detalles

TEMA 11 MEMORIAS. CIRCUITOS LÓGICOS PROGRAMABLES

TEMA 11 MEMORIAS. CIRCUITOS LÓGICOS PROGRAMABLES TEMA 11 MEMORIAS. CIRCUITOS LÓGICOS PROGRAMABLES 1 CLASIFICACIÓN SEGÚN SU TECNOLOGÍA 2 PARAMETROS FUNDAMENTALES DE LAS MEMORIAS Modo de acceso: Aleatorio (RAM, Random Access Memory) Serie Alterabilidad

Más detalles

TEMA 2. Memorias ROM, PROM, EPROM y E2PROM. Memorias FLASH. Memorias FIFO y LIFO. Diseño de circuitos lógicos empleando PROMs.

TEMA 2. Memorias ROM, PROM, EPROM y E2PROM. Memorias FLASH. Memorias FIFO y LIFO. Diseño de circuitos lógicos empleando PROMs. TEMA 2 Memorias ROM, PROM, EPROM y E2PROM. Memorias FLASH. Memorias FIFO y LIFO. Diseño de circuitos lógicos empleando PROMs. Test de memorias Memorias ROM Memorias de almacenamiento permanente Memorias

Más detalles

Memorias. Docente: Ing. Víctor Cárdenas Schweiger

Memorias. Docente: Ing. Víctor Cárdenas Schweiger Memorias Docente: Ing. Víctor Cárdenas Schweiger 2016 1 Qué es una memoria RAM? Es la memoria donde se almacenan los datos (programas) con los que se están trabajando en ese momento. Es un dispositivo

Más detalles

ESTRUCTURA DE MICROPROCESADORES

ESTRUCTURA DE MICROPROCESADORES ESTRUCTURA DE MICROPROCESADORES Interfaz básica de E/S Comunicación paralelo Profesor Ing. Johan Carvajal Godínez Interfaz básica de entrada/salida Permiten la comunicación de microprocesador con otros

Más detalles

ITT-327-T Microprocesadores

ITT-327-T Microprocesadores ITT-327-T Microprocesadores Controlador de Interfaz Paralelo Programable (PPI) 8255. Controlador de Interfaz Paralelo Programable (PPI) 8255. Es un periférico programable de E/S de aplicación general,

Más detalles

Implementación de una unidad procesadora de cuatro bits, como material didáctico

Implementación de una unidad procesadora de cuatro bits, como material didáctico Implementación de una unidad procesadora de cuatro bits, como material didáctico Ángel Eduardo Gasca Herrera Universidad Veracruzana Agasca3@hotmail.com Jacinto Enrique Pretelin Canela Universidad Veracruzana

Más detalles

Procedimiento para el diseño de un mapa de memoria de un sistema basado en microprocesador:

Procedimiento para el diseño de un mapa de memoria de un sistema basado en microprocesador: DISEÑO DE BLOQUES DE MEMORIA La ampliación de componentes es una característica del diseño y en el caso de las memorias tiene dos objetivos: Incrementar el tamaño de las palabras. Incrementar el número

Más detalles

UNIVERSIDAD TECNICA FEDERICO SANTA MARIA DEPARTAMENTO DE ELECTRONICA ELO212 Laboratorio de Sistemas Digitales

UNIVERSIDAD TECNICA FEDERICO SANTA MARIA DEPARTAMENTO DE ELECTRONICA ELO212 Laboratorio de Sistemas Digitales Objetivo Principal: Laboratorio 4. Redes Combinacionales II Diseñar y verificar redes combinacionales más complejas, en cuanto a tamaño y número de variables, utilizando dispositivos más complejos que

Más detalles

GAL 22V10 Herramientas software

GAL 22V10 Herramientas software GAL22V10 GAL 22V10 Herramientas software Departamento de Electrónica Fundación San Valero Dispositivo GAL 22V10 DESCRIPCIÓN FUNCIONAL DEL DISPOSITIVO 1 Dispositivo GAL 22V10 DESCRIPCIÓN FUNCIONAL DEL MÓDULO

Más detalles

Sistemas Electrónicos Industriales II EC2112

Sistemas Electrónicos Industriales II EC2112 Sistemas Electrónicos Industriales II EC2112 PROF. JULIO CRUZ DEPARTAMENTO DE ELECTRÓNICA TRIMESTRE ENERO-MARZO 2009 SECCIÓN 2 Previamente Estructura general de un sistema secuencial Ejercicio Clase 6

Más detalles

UNIDAD 2. Unidad de Microprocesador (MPU) Microprocesadores Otoño 2011

UNIDAD 2. Unidad de Microprocesador (MPU) Microprocesadores Otoño 2011 1 UNIDAD 2 Unidad de Microprocesador (MPU) Microprocesadores Otoño 2011 Contenido 2 Unidad de Microprocesador Generalizada Memoria Dispositivos de Entrada y Salida Sistemas basados en Microprocesadores

Más detalles

Lenguaje ABEL-HDL. Departamento de Electrónica. Fundación San Valero

Lenguaje ABEL-HDL. Departamento de Electrónica. Fundación San Valero Lenguaje HDL Lenguaje ABEL-HDL Departamento de Electrónica Fundación San Valero Qué es HDL? HDL es el acrónimo de Hardware Description Language (Lenguaje de Descripción de Hardware). Son lenguajes de programación

Más detalles

Tema VI: Memorias y Dispositivos de Lógica Programable (PLDs)

Tema VI: Memorias y Dispositivos de Lógica Programable (PLDs) Tema VI: Memorias y Dispositivos de Lógica Programable (PLDs) Objetivos: 1.- Conocer la función, características básicas y tipos de memorias. 2.- Conocer la función, características principales y aplicaciones

Más detalles

Memorias FORMAS DE ESCRITURA

Memorias FORMAS DE ESCRITURA MEMORIAS Memorias FORMAS DE ESCRITURA BIG-ENDIAN: El bit más significativo en la dirección mas baja LITTLE-ENDIAN: El bit más significativo en la dirección mas alta Little-endian: INTEL Big-Endian: MOTOROLA,

Más detalles

Trabajo Práctico Nro 2: Mapeo Decodificación

Trabajo Práctico Nro 2: Mapeo Decodificación Año:00 Trabajo Práctico Nro : Mapeo Decodificación Trabajo Práctico Nro : Mapeo Decodificación Introducción Estructura básica de buses (Arquitectura Von Newman) Bus de Dirección Bus de Datos Bus de Control

Más detalles

UNIVERSIDAD NACIONAL AUTÓNOMA DE MÉXICO FACULTAD DE INGENIERÍA PROGRAMA DE ESTUDIO

UNIVERSIDAD NACIONAL AUTÓNOMA DE MÉXICO FACULTAD DE INGENIERÍA PROGRAMA DE ESTUDIO UNIVERSIDAD NACIONAL AUTÓNOMA DE MÉXICO FACULTAD DE INGENIERÍA PROGRAMA DE ESTUDIO DISEÑO DE SISTEMAS DIGITALES 1551 5 o 11 Asignatura Clave Semestre Créditos Ingeniería Eléctrica Ingeniería en Computación

Más detalles

Electrónica Industrial - 4º ETSII. Concepto de capacidad Concepto de bit, byte y word (palabra) Electrónica Industrial - 4º ETSII

Electrónica Industrial - 4º ETSII. Concepto de capacidad Concepto de bit, byte y word (palabra) Electrónica Industrial - 4º ETSII 7.6. Memorias RAM 7.6.1.Introducción a las memorias 7.6.2. Memorias ROM [ Wakerly 10.1 pág. 833] 7.6.2.1. Estructura interna [ Wakerly 10.1.2 pág. 837] 7.6.2.2. Tipos comerciales de ROM [ Wakerly 10.1.4

Más detalles

Salida activa: 0 o 1 Salida colector abierto (open collector) Salida Triestado (tristate). Modelo: Modelo: (Salida open collector)

Salida activa: 0 o 1 Salida colector abierto (open collector) Salida Triestado (tristate). Modelo: Modelo: (Salida open collector) 8-1 Salida activa: 0 o 1 Salida colector abierto (open collector) o Modelo: (Salida open collector) Vcc R Las llaves se cierran con un 1 Salida Triestado (tristate). Vcc o Modelo: (Salida tercer estado)

Más detalles

MEMORIA EJERCICIO 1 EJERCICIO 2

MEMORIA EJERCICIO 1 EJERCICIO 2 MEMORIA EJERCICIO 1 Determinar el mapa de memoria de un procesador con 16 señales de bus de direcciones, una señal de asentimiento de bus de direcciones AS, una señal de lectura R, otra de escritura W

Más detalles

Tema: MAPAS DE MEMORIA: LÓGICA DE SELECCIÓN, GESTIÓN Y ORDENACIÓN DE LA MEMORIA. J. Luis Lázaro, J. Jesús García "MAPA DE MEMORIA" 0

Tema: MAPAS DE MEMORIA: LÓGICA DE SELECCIÓN, GESTIÓN Y ORDENACIÓN DE LA MEMORIA. J. Luis Lázaro, J. Jesús García MAPA DE MEMORIA 0 Tema: MAPAS DE MEMORIA: LÓGICA DE SELECCIÓN, GESTIÓN Y ORDENACIÓN DE LA MEMORIA J. Luis Lázaro, J. Jesús García "MAPA DE MEMORIA" 0 MAPA DE MEMORIA Mapa de memoria Memoria que es capaz de direccionar un

Más detalles

TRABAJO PRÁCTICO Nº 4. Sistemas combinacionales MSI

TRABAJO PRÁCTICO Nº 4. Sistemas combinacionales MSI Sistemas Digitales TRABAJO PRÁCTICO Nº 4 Sistemas combinacionales MSI Ejercicio Nº 1: Se desea transmitir datos de dos bits con control de paridad impar. Utilice un decodificador para diseñar un circuito

Más detalles

Sistemas Electrónicos Digitales

Sistemas Electrónicos Digitales Sistemas Electrónicos Digitales Universidad de Alcalá Curso Académico 2014/2015 Curso 3º Cuatrimestre 1º Ejercicio 1 Se dispone de chips de EEPROM de 2Kx8. Realice la ampliación a 8Kx8 manteniendo una

Más detalles

Componentes Digitales Estructurados

Componentes Digitales Estructurados Prof. Rodrigo Araya E. raraya@inf.utfsm.cl Universidad Técnica Federico Santa María Departamento de Informática Valparaíso, 1 er Semestre 2006 1 2 3 4 5 6 7 Los se construyen a partir de unidades más simples

Más detalles

Memorias de Semiconductor. Departamento de Electrónica Curso 2010/11

Memorias de Semiconductor. Departamento de Electrónica Curso 2010/11 s de Semiconductor Curso 2010/11 Índice Introducción Clasificación de las memorias El chip de memoria Estructura interna de una memoria Cronogramas de acceso s RAM estáticas s RAM dinámicas s ROM s PROM

Más detalles

Estructura y tecnología de computadores - prácticas en ensamblador

Estructura y tecnología de computadores - prácticas en ensamblador Estructura y tecnología de computadores - prácticas en ensamblador Agradecimientos (c) Francisco Charte Ojeda Introducción Código fuente 1. Introducción a los microprocesadores 1.1. El sistema microcomputador

Más detalles

Problemas de estructura y tecnología de computadores Hoja 6: Temas 12, 13 y 14

Problemas de estructura y tecnología de computadores Hoja 6: Temas 12, 13 y 14 Problemas de estructura y tecnología de computadores Hoja 6: Temas 12, 13 y 14 1) Diseñar una memoria de 640 Kbytes (512Kbytes de RAM y 128 Kbytes de ROM) accesible a nivel de byte, empleando chips de

Más detalles

Velocidades Típicas de transferencia en Dispositivos I/O

Velocidades Típicas de transferencia en Dispositivos I/O Entradas Salidas Velocidades Típicas de transferencia en Dispositivos I/O Entradas/Salidas: Problemas Amplia variedad de periféricos Entrega de diferentes cantidades de datos Diferentes velocidades Variedad

Más detalles

Práctica 4. Sistemas Digitales. Objetivos particulares

Práctica 4. Sistemas Digitales. Objetivos particulares Práctica 4 Sistemas Digitales Objetivos particulares Durante el desarrollo de esta práctica, el estudiante aplicará un método para obtener las diferentes representaciones de los sistemas digitales binarios,

Más detalles

Lattice isplever. Características. Gestión de proyectos

Lattice isplever. Características. Gestión de proyectos Lattice isplever Características Conjunto de herramientas para el diseño con CPLD y FPGA Versión isplever starter gratuita (licencia 6 meses) Entorno de desarrollo integrado -> incluye Gestión de proyectos

Más detalles

Laboratorio 06. Diseño e implementación de un sistema digital para la captura de caracteres desde teclado

Laboratorio 06. Diseño e implementación de un sistema digital para la captura de caracteres desde teclado Laboratorio 06. Diseño e implementación de un sistema digital para la captura de caracteres desde teclado Objetivos Específicos: - Aplicar sentencias específicas del lenguaje ABEL para describir registros

Más detalles

Unidad II: Memorias. Ing. Marglorie Colina

Unidad II: Memorias. Ing. Marglorie Colina Unidad II: Memorias Ing. Marglorie Colina Memoria Elemento de un sistema digital que almacena información binaria en grandes cantidades (datos o instrucciones). Puede verse como un conjunto de m registros

Más detalles

Departamento de Electrónica Electrónica Digital. Mapas de memoria. Bioingeniería Facultad de Ingeniería - UNER

Departamento de Electrónica Electrónica Digital. Mapas de memoria. Bioingeniería Facultad de Ingeniería - UNER Departamento de Electrónica Electrónica Digital Mapas de memoria Bioingeniería Facultad de Ingeniería - UNER 3/5/23 Electrónica DigitalElectrónica Digital Direccionamiento de las memorias 3/5/23 Electrónica

Más detalles

Por su tipo de acceso las memorias se clasifican en:

Por su tipo de acceso las memorias se clasifican en: Memorias Por su tipo de acceso las memorias se clasifican en: acceso aleatorio. El valor de [m (t+1)] es independiente de t Estas memorias, en inglés, se denominan Random Access Memory(RAM) acceso secuencial.

Más detalles

Nota: Para los diseños, anexar los respectivos códigos y simulaciones según el caso.

Nota: Para los diseños, anexar los respectivos códigos y simulaciones según el caso. DISEÑO DE SISTEMAS DIGITALES Tópico Práctico. No. 1 isplever: ABEL HDL, Diseño Lógico Combinatorio. jlozada@ipn.mx Centro de Innovación y Desarrollo Tecnológico en Cómputo Lab. de Diseño de Sistemas Digitales

Más detalles

Capítulo 3. Microcontroladores 3.1 Definiciones

Capítulo 3. Microcontroladores 3.1 Definiciones Capítulo 3. Microcontroladores En este capítulo se define el microcontrolador, con una breve semblanza histórica sobre procesadores. Se habla más detenidamente sobre los PICs y se muestran características

Más detalles

ABEL Para Síntesis. Dr. Juan C. Herrera Lozada

ABEL Para Síntesis. Dr. Juan C. Herrera Lozada ABEL Para Síntesis Dr. Juan C. Herrera Lozada jlozada@ipn.mx Caso de Estudio 1: Diseño Combinacional ABEL permite la descripción de circuitos mediante tablas de verdad, ecuaciones y diagramas de estado.

Más detalles

La Unidad de Memoria y su

La Unidad de Memoria y su La Unidad Memoria y su conexión n al µp Forma en el que el µp realiza una operación escritura A15-A0 µp µp D7-D0 3 3 1 1 2 2 Chip Memoria MEM Bus Direcciones 16 16 bits bits Bus Datos Decodificación Decodificación

Más detalles

Práctica 3 Captura Esquemática

Práctica 3 Captura Esquemática Práctica 3 Captura Esquemática Objetivos particulares Durante el desarrollo de esta práctica el alumno implementara físicamente los operadores lógicos And, Or, Nand, Nor y Exor de tres entradas en un solo

Más detalles

Diagrama a bloques 2

Diagrama a bloques 2 Arquitectura 1 Diagrama a bloques 2 Diagrama a bloques 3 Diagrama a bloques 4 Diagrama a bloques 5 Unidad aritmética-lógica La unidad Aritmética Lógica se encarga de realizar las operaciones Suma, Resta,

Más detalles

UNIVERSIDAD NACIONAL AUTÓNOMA DE MÉXICO FACULTAD DE INGENIERÍA PROGRAMA DE ESTUDIO

UNIVERSIDAD NACIONAL AUTÓNOMA DE MÉXICO FACULTAD DE INGENIERÍA PROGRAMA DE ESTUDIO UNIVERSIDAD NACIONAL AUTÓNOMA DE MÉXICO FACULTAD DE INGENIERÍA PROGRAMA DE ESTUDIO MICROCOMPUTADORAS 1672 6 o 08 Asignatura Clave Semestre Créditos Ingeniería Eléctrica Ingeniería en Computación Ingeniería

Más detalles

IV. PROGRAMACIÓN TEMÁTICA PRIMERA UNIDAD: MICROPROCESADORES COMPETENCIA ESPECÍFICA:

IV. PROGRAMACIÓN TEMÁTICA PRIMERA UNIDAD: MICROPROCESADORES COMPETENCIA ESPECÍFICA: I. DATOS GENERALES II. SUMILLA SILABO 1. Nombre de la Asignatura : MICROPROCESADORES 2. Carácter : Electivo 3. Carrera Profesional : Ing. Electrónica y Telecomunicaciones 4. Código : IE0808 5. Semestre

Más detalles

Autómata Programable (PLC)

Autómata Programable (PLC) Autómata Programable (PLC) UPCO ICAI Departamento de Electrónica y Automática 1 Hardware del autómata Sistema digital basado en un microprocesador CPU Reloj Marca el funcionamiento de todo el sistema (20

Más detalles

Contenidos. Arquitectura de ordenadores (fundamentos teóricos) Elementos de un ordenador. Periféricos

Contenidos. Arquitectura de ordenadores (fundamentos teóricos) Elementos de un ordenador. Periféricos Arquitectura de ordenadores (fundamentos teóricos) Representación de la información Estructura de un microprocesador Memorias Sistemas de E/S Elementos de un ordenador Microprocesador Placa base Chipset

Más detalles

TEMA 9. MEMORIAS SEMICONDUCTORAS

TEMA 9. MEMORIAS SEMICONDUCTORAS TEMA 9. MEMORIAS SEMICONDUCTORAS http://www.tech-faq.com/wp-content/uploads/images/integrated-circuit-layout.jpg IEEE 125 Aniversary: http://www.flickr.com/photos/ieee125/with/2809342254/ 1 - Introducción:

Más detalles

PROBLEMA 1 (2.5 puntos): SOLUCIÓN

PROBLEMA 1 (2.5 puntos): SOLUCIÓN PROBLEMA 1 (2.5 puntos): SOLUCIÓN Diseñe un microprocesador con las siguientes características: 16KB de memoria de datos. 8KB de memoria de programa. Juego de 128 instrucciones de 16 bits. Bus de datos

Más detalles

UNIVERSIDAD TECNICA DE AMBATO NOVENO SEMESTRE INGENIERIA ROBOTICA MICROCONTROLADORES Y SU APLICACION EN ROBOTICA PROFESOR: UTA 2009 ING. G.

UNIVERSIDAD TECNICA DE AMBATO NOVENO SEMESTRE INGENIERIA ROBOTICA MICROCONTROLADORES Y SU APLICACION EN ROBOTICA PROFESOR: UTA 2009 ING. G. MICROCONTROLADORES Y SU APLICACION EN UTA 2009 PROFESOR: ING. G. ALMEIDA SEMESTRE MARZO - JULIO 2009 OBJETIVOS DISTINGUIR LOS ASPECTOS GENERALES, CARACTERISTICAS Y FUNCIONAMIENTO DE UN MICROCONTROLADOR.

Más detalles

Facultad de Ingeniería Eléctrica

Facultad de Ingeniería Eléctrica Facultad de Ingeniería Eléctrica Laboratorio de Electrónica Ing. Luís García Reyes Práctica Número 10 Demultiplexores Materia: Laboratorio de Electrónica Digital I Objetivo: Comprobación del funcionamiento

Más detalles

UNIVERSIDAD DE SAN CARLOS DE GUATEMALA FACULTAD DE INGENIERIA ESCUELA DE MECANICA ELECTRICA LABORATORIO DE ELECTRONICA PENSUM ELECTRÓNICA

UNIVERSIDAD DE SAN CARLOS DE GUATEMALA FACULTAD DE INGENIERIA ESCUELA DE MECANICA ELECTRICA LABORATORIO DE ELECTRONICA PENSUM ELECTRÓNICA UNIVERSIDAD DE SAN CARLOS DE GUATEMALA FACULTAD DE INGENIERIA ESCUELA DE MECANICA ELECTRICA LABORATORIO DE ELECTRONICA PENSUM ELECTRÓNICA 5 ~ 1 ~ ÍNDICE Introducción.....página 3 Prácticas LabVolt...página

Más detalles

Tema 5.- Memorias. ---***---

Tema 5.- Memorias. ---***--- Tema 5.- Memorias. 1. Conceptos básicos generales. 2. Organización de mapas de memorias. 2.1. Objetivos. 2.2. Ejemplo de conexión entre un procesador genérico y la memoria. 2.3. Ejemplo de conexión entre

Más detalles

Procedimiento para el diseño de un mapa de memoria de un sistema basado en microprocesador:

Procedimiento para el diseño de un mapa de memoria de un sistema basado en microprocesador: DISEÑO DE BLOQUES DE MEMORIA La ampliación de componentes es una característica del diseño y en el caso de las memorias tiene dos objetivos: Incrementar el tamaño de las palabras. Incrementar el número

Más detalles

I - Oferta Académica Materia Carrera Plan Año Período PROCESADORES I ING.ELECT.O.S.D 13/ cuatrimestre 15/13 -CD

I - Oferta Académica Materia Carrera Plan Año Período PROCESADORES I ING.ELECT.O.S.D 13/ cuatrimestre 15/13 -CD Ministerio de Cultura y Educación Universidad Nacional de San Luis Facultad de Ciencias Físico Matemáticas y Naturales Departamento: Fisica Area: Area V: Electronica y Microprocesadores (Programa del año

Más detalles

28/09/2012. Interfaz con Dispositivos de Salida. Interfaz con Dispositivos de Entrada. Port Mapped. Memory mapped. Interfaz con Dispositivos I/O

28/09/2012. Interfaz con Dispositivos de Salida. Interfaz con Dispositivos de Entrada. Port Mapped. Memory mapped. Interfaz con Dispositivos I/O Interfaz con Dispositivos I/O Interfaz con Dispositivos de Salida y Salida Unidad 4, Segunda Parte Port Mapped Memory mapped 1 2 Ejecución de la Instrucción OUT Ejecución de la instrucción OUT Dirección

Más detalles

Tema 5: Memorias. Espacio reservado para notas del alumno

Tema 5: Memorias. Espacio reservado para notas del alumno Tema 5: Memorias S Definiciones S Parámetros característicos S Jerarquía de memoria S Dispositivos de almacenamiento S Clasificación S Fundamentos de las memorias S Memoria caché / Memoria virtual S Memoria

Más detalles

UD1. MEMORIAS. Centro CFP/ES FUNCIONAMIENTO BIESTABLE RS

UD1. MEMORIAS. Centro CFP/ES FUNCIONAMIENTO BIESTABLE RS UD1. MEMORIAS Centro CFP/ES FUNCIONAMIENTO BIESTABLE RS 1 BIESTABLE D- CELDA MEMORIA RAM BIESTABLE D- CELDA MEMORIA RAM 2 TIPOS DE MEMORIA LOS DIFERENTES TIPOS DE MEMORIA SON: RAM (Memoria de acceso aleatorio)

Más detalles

Integrantes del equipo: Revisión Teórica

Integrantes del equipo: Revisión Teórica Universidad Tecnológica de la Mixteca Ingeniería en Electrónica CIRCUITOS LÓGICOS Práctica 5: Aplicación del modelo Top-DOWN en el diseño de un Sumador-Restador de 4 bits Integrantes del equipo: Objetivo:

Más detalles

Laboratorio 02. Ambiente de Mediciones Digitales

Laboratorio 02. Ambiente de Mediciones Digitales Laboratorio 02. Ambiente de Mediciones Digitales Objetivos : - Conocer y utilizar con propiedad osciloscopio de señal mixta. - Manejar con propiedad los conceptos de sincronización, disparo, nivel de disparo,

Más detalles

8.3. Introducción a las E/S del sistema

8.3. Introducción a las E/S del sistema 8.3. Introducción a las E/S del sistema Interface entre dispositivos periféricos y el. iferencia en velocidad de funcionamiento (tasa de transferencia). iferencia en las unidades de información. iferencia

Más detalles

}Transparencias de clase en

}Transparencias de clase en Sistemas de memoria Conceptos básicos Jerarquía de memoria Memoria caché }Transparencias de clase en campusvirtual.udc.es Memoria principal Memoria virtual Memoria principal Antiguamente: Matriz de pequeños

Más detalles

Instituto Tecnológico de Morelia

Instituto Tecnológico de Morelia Instituto Tecnológico de Morelia Arquitectura de Computadoras Unidad 1b Programa 1.2.2 Memoria. 1.2.2.1 Conceptos de manejo de memoria. 1.2.2.2 Memoria principal semiconductora. 1.2.2.3 Memoria cache.

Más detalles

APELLIDOS NOMBRE GRADO SOLUCIÓN. Figura 1

APELLIDOS NOMBRE GRADO SOLUCIÓN. Figura 1 DECODIFICADOR SISTEMAS DIGITALES BASADOS EN MICROPROCESADORES 12 febrero de 2018 APELLIDOS NOMBRE GRADO SOLUCIÓN Ejercicio 1 (40 minutos 4 puntos) La siguiente figura muestra un diagrama de bloques simplificado

Más detalles

MEMORIAS Y BUSES. Las memorias son dispositivos de almacenamiento de información, en la cual los bits se graban en celdas. D 2

MEMORIAS Y BUSES. Las memorias son dispositivos de almacenamiento de información, en la cual los bits se graban en celdas. D 2 MEMORIAS Y BUSES Las memorias son dispositivos de almacenamiento de información, en la cual los bits se graban en celdas. ESTRUCTURA. (Ejemplo de una memoria de 8 x 8) Direcciones A 2 A 1 A 1 1 1 1 1 1

Más detalles

Tema 0. Introducción a los computadores

Tema 0. Introducción a los computadores Tema 0 Introducción a los computadores 1 Definición de computador Introducción Máquina capaz de realizar de forma automática y en una secuencia programada cierto número de operaciones sobre unos datos

Más detalles

Manual de prácticas del Laboratorio de Dispositivos de Almacenamiento y de Entrada/Salida

Manual de prácticas del Laboratorio de Dispositivos de Almacenamiento y de Entrada/Salida Secretaría/División: Área/Departamento: Manual de prácticas del Laboratorio de Dispositivos de Almacenamiento y de Entrada/Salida División de Ingeniería Eléctrica Departamento de Computación Memorias de

Más detalles

INTERFACE CON MEMORIA y E/S

INTERFACE CON MEMORIA y E/S Todos los sistemas con procesadores tienen tres canales o buses: Bus de direcciones que proporcionan dirección de memoria al numero de puerto de E/S. Bus de datos que transfiere información entre el procesador

Más detalles

Manual de prácticas del Laboratorio de Dispositivos de Almacenamiento y de Entrada/Salida

Manual de prácticas del Laboratorio de Dispositivos de Almacenamiento y de Entrada/Salida Secretaría/División: Área/Departamento: Manual de prácticas del Laboratorio de Dispositivos de Almacenamiento y de Entrada/Salida División de Ingeniería Eléctrica Departamento de Computación Memorias direccionables

Más detalles

DATOS DE IDENTIFICACIÓN DEL CURSO

DATOS DE IDENTIFICACIÓN DEL CURSO DATOS DE IDENTIFICACIÓN DEL CURSO DEPARTAMENTO: Electrónica. ACADEMIA A LA QUE PERTENECE: Sistemas Digitales Avanzados NOMBRE DE LA MATERIA: Sistemas Digitales III CLAVE DE LA MATERIA: ET211 CARÁCTER DEL

Más detalles

Arquitectura de Computadores

Arquitectura de Computadores Curso 2006/07 Arquitectura de Computadores 1. Introducción 2. La CPU 3. Lenguaje Máquina 4. 5. Sistema de Entrada/Salida 6. Buses Informática Aplicada Arquitectura de Computadores 1 Características generales

Más detalles

SISTEMAS DIGITALES BASADOS EN MICROPROCESADORES. Examen Parcial 1

SISTEMAS DIGITALES BASADOS EN MICROPROCESADORES. Examen Parcial 1 DECODIFICADOR SISTEMAS DIGITALES BASADOS EN MICROPROCESADORES 12 febrero de 2018 APELLIDOS NOMBRE GRADO Ejercicio 1 (40 minutos 4 puntos) La siguiente figura muestra un diagrama de bloques simplificado

Más detalles

Informe y Presentación de Proyectos v 3

Informe y Presentación de Proyectos v 3 Informe y Presentación de Proyectos v 3 Informe Final: El Informe debe incluir: Objetivo del proyecto Tendrá que definir los objetivos, alcances, y limitaciones del proyecto. Diagrama de bloques Se implementará

Más detalles

TEMA 5 DISPOSITIVOS LÓGICOS PROGRAMABLES Y MEMORIAS. 1. Introducción. 2. Dispositivos lógicos programables.

TEMA 5 DISPOSITIVOS LÓGICOS PROGRAMABLES Y MEMORIAS. 1. Introducción. 2. Dispositivos lógicos programables. T-5 Dispositivos lógicos programables. Memorias. TEMA 5 DISPOSITIVOS LÓGICOS PROGRAMABLES Y MEMORIAS.. Introducción. Las modernas técnicas de circuitos integrados permiten combinar miles e incluso millones

Más detalles

Semestre LABORATORIO DE DISPOSITIVOS DE ALMACENAMIENTO Y DISPOSITIVOS DE ENTRADA / SALIDA PREVIO # 2

Semestre LABORATORIO DE DISPOSITIVOS DE ALMACENAMIENTO Y DISPOSITIVOS DE ENTRADA / SALIDA PREVIO # 2 Semestre 2015-1 LABORATORIO DE DISPOSITIVOS DE ALMACENAMIENTO Y DISPOSITIVOS DE ENTRADA / SALIDA PREVIO # 2 MEMORIAS DE SÓLO LECTURA SEMICONDUCTORAS (ROM) 1- Existen 5 tipos de memoria ROM, mencione cuales

Más detalles

UN U I N D I AD A D E E MEM E OR O IA I 1

UN U I N D I AD A D E E MEM E OR O IA I 1 UNIDAD DE MEMORIA 1 Localización: Tres grandes grupos: Memoria interna del procesador: Pequeño conjunto de registros en los que CPU almacena temporalmente las instrucciones y datos. Memoria principal:

Más detalles

Examen de Fundamentos de Tecnología de Computadores. Junio 2010 Grado en Ingeniería Informática y Grado en Sistemas de Información

Examen de Fundamentos de Tecnología de Computadores. Junio 2010 Grado en Ingeniería Informática y Grado en Sistemas de Información Test 5 ptos. No se permite el uso de ningún tipo de documentación ni de calculadora Tiempo máximo Test 1h y 15 minutos. Responder en la hoja de soluciones Respuesta correcta 0,25 Incorrecta - 0,1 No contestada

Más detalles

Documentación de apoyo al auto aprendizaje del MC68000

Documentación de apoyo al auto aprendizaje del MC68000 1. Introducción Documentación de apoyo al auto aprendizaje del MC68000 El presente documento contiene la información necesaria que permitirá conducir al estudiante al auto aprendizaje en varios aspectos

Más detalles

ÍNDICE TEMÁTICO. 4 Salida Paralelos y Serie. 7 Programación de Microprocesadores 10 4 Características de los 4 8

ÍNDICE TEMÁTICO. 4 Salida Paralelos y Serie. 7 Programación de Microprocesadores 10 4 Características de los 4 8 UNIVERSIDAD NACIONAL AUTÓNOMA DE MÉICO FACULTAD DE ESTUDIOS SUPERIORES CUAUTITLÁN LICENCIATURA: INGENIERÍA EN TELECOMUNICACIONES, SISTEMAS Y ELECTRÓNICA DENOMINACIÓN DE LA ASIGNATURA: Microprocesadores

Más detalles

Entrada y Salida! EC-2721 Arquitectura del Computador I! Entradas/Salidas: Problemas. Entradas salidas: Criterios. Amplia variedad de periféricos!

Entrada y Salida! EC-2721 Arquitectura del Computador I! Entradas/Salidas: Problemas. Entradas salidas: Criterios. Amplia variedad de periféricos! Entrada y Salida Velocidades de transferencia típicas en Dispositivos I/O EC-2721 Arquitectura del Computador I William Stallings, Computer Organization and Architecture, Cap. 7 Universidad Simón Bolívar

Más detalles

Autómatas Programables ISA-UMH TDOC-99

Autómatas Programables ISA-UMH TDOC-99 FUENTE DE ALIMENTACIÓN BATERÍA MEMORIA DE PROGRAMA BUS INTERNO INTERFACES DE ENTRADA MEMORIA DE DATOS TEMPORIZADORES UNIDAD CENTRAL CPU MEMORIA IMAGEN E/S INTERFACES DE ENTRADA CONTADORES 1 Arquitectura

Más detalles

4.5. Procedimiento de síntesis

4.5. Procedimiento de síntesis 4.5. Procedimiento de síntesis En este apartado se resumen los pasos a seguir para completar la implementación de un sistema digital en un dispositivo programable: descripción del sistema mediante uno

Más detalles

Bus de datos Bus de direcciones Pocos bits, los suficientes para direccionar registros internos (ej: A0 y A1)

Bus de datos Bus de direcciones Pocos bits, los suficientes para direccionar registros internos (ej: A0 y A1) 16-1 Muchas veces los fabricantes de µps también ofrecen periféricos con aplicaciones establecidas que facilitan el hardware del sistema. En general son programables, lo que les permite diferentes modos

Más detalles

ESTRUCTURA Y TECNOLOGÍA DE LOS COMPUTADORES II BOLETÍN 3 MEMORIAS SEMICONDUCTORAS

ESTRUCTURA Y TECNOLOGÍA DE LOS COMPUTADORES II BOLETÍN 3 MEMORIAS SEMICONDUCTORAS NOTA: En aquellos problemas donde no se indique lo contrario debe suponerse que el espacio de direccionamiento es de 64K. Problema 1.- Un sistema basado en un microprocesador dispone de 3 RAMs de 8K*8

Más detalles

Pr. Dr. Xavier Bonnaire

Pr. Dr. Xavier Bonnaire Pr. Dr. Xavier Bonnaire Slide 1 Temario Introducción Registros Multiplexores Codificadores y Decodificadores Archivos de Registros Unidad Aritmética Memorias Slide 2 Introducción Componentes Digital Estructurados

Más detalles